加速vivado编译工程

  • 系统环境:windows11
  • IDE环境:vivado2023.2
  • 工程:vivado自带的example project(wave_gen)

Vivado支持多线程,可进一步缩短编译时间,这需要通过如下的Tcl脚本进行设置。综合阶段,Vivado可支持的最大线程数为4。布局布线阶段,可支持的最大线程数为8。

通过 get_param general.maxThreads 可知当前工程使用的线程数,如下图所示,Windows系统的最大线程数默认值为2。

生成bitstream,观察整个流程的耗时。

编写TCL脚本,并且在vivado中分别设置在综合前使用和实现前使用(tcl.pre)

# set_threads.tcl
set_param general.maxThreads 4


生成bitstream,观察整个流程的耗时。

可能是因为工程小的原因,可以看出提升并不大,下次在大工程中试试。

相关推荐
迪普微社区2 天前
双芯合璧,双FPGA赋能的软件无线电平台上线!
图像处理·fpga开发·fpga·开发板·通信·射频·雷达监测
夏天是冰红茶2 天前
基于FPGA的DDS信号发生器
fpga开发·vivado·dds
神仙约架5 天前
【INTEL(ALTERA)】NIOS II调试器中的重新启动按钮不起作用
fpga·nios·altera·nios ii
小果壳10 天前
Verilog描述一个带有异步置位和异步清零的D触发器
fpga
晨曦—1 个月前
HLS入门实验
fpga
cckkppll1 个月前
vivado BD_ADDR_SPACE、BD_CELL
fpga开发·vivado
迪普微社区1 个月前
新版 Vivado 2024.1分享(附安装包)
fpga开发·fpga·xilinx·干货·硬件设计·资源
cckkppll1 个月前
vivado BD_ADDR_SEG
fpga开发·vivado
cckkppll1 个月前
移植其他命令行Vivado IDE的工具
fpga开发·vivado
cckkppll1 个月前
迁移ISE ChipScope逻辑分析器到Vivado硬件管理器
fpga开发·vivado