XM1394-PCI- 1394 航电单节点/三节点仿真卡

PCI总线Mil-1394B全功能卡,支持1个节点(CC),具备所有CC、RN和BM功能。

1394 全功能卡用于仿真 1394 总线中的 CC、RN 和 BM 节点功能,支持总线拓扑查看、数据记录、错误注入等功能,可构建 1394 总线网络,实现 1394 总线网络的数据传输。1394 三节点仿真卡的核心功能基于 FPGA 实现,该 FPGA 实现 1394B 总线标准 AS5643 协议的解析。这种设计具有 FPGA 逻辑功能启动快、协议处理能力强、体积小、重量轻的特点,满足了产品在实验室环境下的测试要求。

· 支持 1394 网络通信,采用标准的 SAE AS5643 协议格式;

· 采用变压器耦合方式,提供 Beta 模式的 1394B 通信端口;

· 支持 S100B、S200B 和 S400B 传输模式,且模式可设置;

· 具备 CC/RN 节点的发送、接收功能,具备 BM 监控功能;

· 接收消息添加时标,时标占 64 位,精度 1us。

· 支持监控总线数据、总线拓扑显示、数据解析;

· 支持每周期发送 32 条事件应答消息;

· 支持接收数据上报属性(通道号,消息 ID,消息偏移、消息数据 CRC 错误状态、消息 VPC 错误状态、消息长度错误状态、消息速率)

· 支持异步流消息错误注入(头 CRC、数据 CRC、数据 VPC)

· 支持 CC 节点 STOF 错误注入(头 CRC、数据 CRC、数据 VPC、错误周期)

· 支持发起总线复位以及复位风暴配置;

· 支持节点端口禁止、使能操作

|---------|-----------------------------------|
| 外形尺寸 | PCI 全高半长 (106±0.2)mm ×(175±0.2)mm |
| 重量 | 不大于 400g |
| 主机接口 | PCI,33MHz/32bit |
| 1394 接口 | 1 个节点,3 个 Beta 模式端口 |
| 连接器 | 工业九芯插座 |
| 工作温度 | -20℃~50℃ |
| 功耗 | 小于 6W |
| 电源 | +5V 供电 |

1394 三节点仿真卡

M1394-PCI,PCI总线Mil-1394B全功能卡,支持3个节点(CC),每个节点均具备所有CC、RN和BM功能。

1394 全功能卡用于仿真 1394 总线中的 CC、RN 和 BM 节点功能,支持总线拓扑查看、数据记录、错误注入等功能,可构建 1394 总线网络,实现 1394 总线网络的数据传输。1394 三节点仿真卡的核心功能基于 FPGA 实现,该 FPGA 实现 1394B 总线标准 AS5643 协议的解析。这种设计具有 FPGA 逻辑功能启动快、协议处理能力强、体积小、重量轻的特点,满足了产品在实验室环境下的测试要求。

· 支持 1394 网络通信,采用标准的 SAE AS5643 协议格式;

· 采用变压器耦合方式,提供 Beta 模式的 1394B 通信端口;

· 支持 S100B、S200B 和 S400B 传输模式,且模式可设置;

· 具备 CC/RN 节点的发送、接收功能,具备 BM 监控功能;

· 接收消息添加时标,时标占 64 位,精度 1us。

· 支持监控总线数据、总线拓扑显示、数据解析;

· 支持每周期发送 32 条事件应答消息;

· 支持接收数据上报属性(通道号,消息 ID,消息偏移、消息数据 CRC 错误状态、消息 VPC 错误状态、消息长度错误状态、消息速率)

· 支持异步流消息错误注入(头 CRC、数据 CRC、数据 VPC)

· 支持 CC 节点 STOF 错误注入(头 CRC、数据 CRC、数据 VPC、错误周期)

· 支持发起总线复位以及复位风暴配置;

· 支持节点端口禁止、使能操作

相关推荐
IM_DALLA6 小时前
【Verilog学习日常】—牛客网刷题—Verilog快速入门—VL21
学习·fpga开发
皇华ameya10 小时前
AMEYA360:村田电子更适合薄型设计应用场景的3.3V输入、12A输出的DCDC转换IC
fpga开发
千穹凌帝13 小时前
SpinalHDL之结构(二)
开发语言·前端·fpga开发
一口一口吃成大V19 小时前
FPGA随记——FPGA时序优化小经验
fpga开发
贾saisai20 小时前
Xilinx系FPGA学习笔记(九)DDR3学习
笔记·学习·fpga开发
redcocal1 天前
地平线秋招
python·嵌入式硬件·算法·fpga开发·求职招聘
思尔芯S2C2 天前
高密原型验证系统解决方案(下篇)
fpga开发·soc设计·debugging·fpga原型验证·prototyping·深度调试·多fpga 调试
坚持每天写程序2 天前
xilinx vivado PULLMODE 设置思路
fpga开发
redcocal2 天前
地平线内推码 kbrfck
c++·嵌入式硬件·mcu·算法·fpga开发·求职招聘
邹莉斯3 天前
FPGA基本结构和简单原理
fpga开发·硬件工程