vivado VIO IP核

参考:pg159

VIO:可以模拟输入/输出功能,实时监视和修改FPGA中的信号,用于调试和验证,与ILA相比,VIO无需占用RAM资源。

VIO IP的输出对于FPGA内部逻辑是输入信号,可以在调试界面设置输入值;输入对内部逻辑是输出信号,用来检测信号变化。

配置:

​ Input Probe Count:VIO输入探头个数,即输入到VIO、需要查看实时数据值的信号个数;

​ Output Probe Count:VIO输出探头个数,即输出给其他模块的信号个数;

​ Enable Input Probe Activity Detectors:输入探头变化检测。若勾选,则在后续调试过程中,某个输入信号发生变化时,则会出现数据变化的提示;若不勾选,则无输入数据变化提示(注意:这里输入是指输入到VIO模块中的数据)。

注:探针连接的信号不可以是该模块的输入信号,也不可以是其子模块的输入信号。

相关推荐
爱吃饼干的小白鼠8 小时前
课程设计——基于FPGA的双向移位寄存器
fpga开发
king_machine design10 小时前
序列检测器(Moore型)
fpga开发
icysmile13114 小时前
Zynq7000系列FPGA中的DMA控制器——PL外设请求接口
fpga开发
icysmile13121 小时前
Zynq7000系列FPGA中的DMA控制器编程指南
fpga开发·dma
ehiway21 小时前
中科亿海微成功入选2023“科创中国”先导技术榜
fpga开发
今天你fpga了嘛1 天前
以太网常用协议——ARP协议
fpga开发
芯王国1 天前
ISP IC/FPGA设计-第一部分-SC130GS摄像头分析-IIC通信(1)
fpga开发·ic·接口隔离原则·iic·cmos传感器
芯王国1 天前
ISP IC/FPGA设计-第一部分-SC130GS摄像头分析(0)
fpga开发·cmos传感器
hi942 天前
KV260视觉AI套件--PYNQ-DPU
人工智能·fpga开发·pynq·kv260
阳排2 天前
FPGA学习笔记(5)——硬件调试与使用内置的集成逻辑分析仪(ILA)IP核
笔记·学习·fpga开发