FPGA入门-自用

写代码,并将引脚对应到板子相应的引脚上

下载程序到板子上

遇到错误了,不按想的来的了,进行仿真

查看网表图查看问题所在

简化了一些步骤:未使用引脚的设置,电压设置;

通过画网表结构图来构成电路

【第三期:CycloneIV E最小系统板设计(一)从Datasheet上获取FPGA的基本参数-哔哩哔哩】 https://b23.tv/dQgwTz5

时钟 计数

input clk;

reg [24:] count;

always@(posedge clk)

count = count +1;

module myled3(input clk,output reg[7:0] led);

reg[24:0] count;
reg[2:0] count2;


always@(posedge clk)
begin
	count = count+1;
end

always@(posedge clk)
begin
	if(count == 25'hfff)
		count2 = count2 +1;
	case(count2)
		0:led = 0;
		1:led = 1;
		2:led = 'b10;
		3:led = 'b100;
		4:led = 'b1000;
		5:led = 'b10000;
		6:led = 'b100000;
		default:
		led = 'b11111111;
	endcase
		
end

endmodule

使用计时器 进行状态判断与顺序执行

相关推荐
数科星球2 小时前
可解耦的多模态机器人来了,第一个场景是酒店
嵌入式硬件·fpga开发·车载系统·硬件工程·基带工程
skywalk81636 小时前
FPGA硬件设计语言:VHDL和System Verilog
fpga开发·芯片·设计语言
Sterne3657 小时前
DDR3AXI4接口读写仿真
fpga开发
光之大主宰8 小时前
DMA与AXI DMA ip
驱动开发·fpga开发·硬件架构·硬件工程
LCMICRO-133108477461 天前
长芯微LS5541/LS5542国产数模转换芯片DAC完全替代P2P替代AD5541/AD5542
单片机·嵌入式硬件·fpga开发·硬件工程·dsp开发
行者..................1 天前
FPGA编程指南: CSU DMA传输
fpga开发·fpga
∑狸猫不是猫1 天前
62.以太网数据回环实验(5)
fpga开发
北京太速科技股份有限公司1 天前
太速科技-基于XC7Z100+AD9361的双收双发无线电射频板卡
fpga开发
cycf1 天前
FPGA低功耗设计
fpga开发
思尔芯S2C1 天前
FPGA Prototyping vs Emulation
fpga开发·eda·fpga原型验证·硬件仿真·emulation·prototyping·s2c