【verilog教程】verilog带参数例化

1. verilog 带参数例化

当一个模块被另一个模块引用例化时,高层模块可以对低层次模块的参数值进行改写。

2. defparam

可以用关键字 defaram 通过模块层次调用的方法,来改写低层次模块的参数值。

示例如下

verilog 复制代码
defparam u_ram.MASK = 7 ;

ram u_ram(
	.clk (clk) ,
	.a   (a  ) ,
	.d   (d  ) ,
	.en  (en ) ,
	.wr  (wr ) ,
	.q   (q  )
);

/// 此模块的MAKSK已经被上层模块传参为7
module ram #( 

	parameter MASK = 3 
)(

	input wire 		 clk 	,
	input wire [7:0] a		,
	input wire [7:0] d		,
	input wire       en		,
	input wire		 wr    	,

	output reg [7:0] q	
);

reg [7:0] mem [0:(1<<7)-1] ;

always @(posedge clk) begin
	if(en && wr) begin
		mem[a] <= d & mask ;
	end
	else if(en && !wr) begin
		q <= mem[a] & mask ;
	end
end

endmodule

3. 带参数模块例化

示例如下

verilog 复制代码
ram #(
	.aw(4) ,
	.dw(4) 
)
u_ram(
	.clk 	(clk		) ,
	.a 		(a[aw-1:0]	) ,
	.d 		(d			) ,
	.en 	(en			) ,
	.wr 	(wr			) ,
	.q		(q			)
);

/// 此模块下的aw,dw都被上层模块传参为4
module ram #(

	parameter aw = 2 ,
	parameter dw = 3
(
	input wire 			clk ,
	input wire [aw-1:0] a   , /// 现在a的位宽为3,原始为1
 	input wire [dw-1:0] d   , /// 现在b的位宽为3,原始为2
	input wire 			en  ,
	input wire			wr  ,
	output reg [dw-1:0] q   
);
	...
	...
	...
	
endmodule

笔记

实际工作中,带参数例化的情况较多。


相关推荐
qq_243050791 小时前
dnsmap:DNS枚举 详细完整教程 Kali Linux&Termux入门教程 黑客渗透测试 信息收集
linux·网络·安全·web安全·网络安全·系统安全·ddos
Pou光明4 小时前
1_linux系统网络性能如何优化——几种开源网络协议栈比较
linux·运维·网络·网络协议·开源
Hello-FPGA4 小时前
CoaXPress over Fiber HOST Bridge FPGA IP
fpga开发
TianyaOAO5 小时前
inmp+discuz论坛
linux·运维·服务器
星光璀璨山河无恙5 小时前
【Linux】grep命令
大数据·linux
稳联技术6 小时前
科技潮头浪接天,一桥飞架两界连。EthernetIP转Profinet互译连
linux·服务器·网络
dessler7 小时前
vmware-esxi使用指南
linux·运维·云计算
明金同学8 小时前
腾讯云海外服务器Window切换为linux系统(从Window DD 到 Linux)
linux·服务器·腾讯云
CC大煊9 小时前
【Linux】vi/vim 使用技巧
linux·运维·vim
是十一月末10 小时前
Linux的基本功能和命令
linux·服务器·开发语言·数据库