时钟分频模块

实现时钟的二分频,四分频

1.时钟分频模块:

复制代码
module clk_div(
    input               clk,          //50Mhz
    input               rst_n,
    input       [15:0]  lcd_id,
    output  reg         lcd_pclk
    );

reg          clk_25m;
reg          clk_12_5m;
reg          div_4_cnt;

//时钟2分频 输出25MHz时钟 
always @(posedge clk or negedge rst_n) begin
    if(!rst_n)
        clk_25m <= 1'b0;
    else  
        clk_25m <= ~clk_25m;
end

//时钟4分频 输出12.5MHz时钟 
always @(posedge clk or negedge rst_n) begin
    if(!rst_n) begin
        div_4_cnt <= 1'b0;
        clk_12_5m <= 1'b0;
    end    
    else begin
        div_4_cnt <= div_4_cnt + 1'b1;
        if(div_4_cnt == 1'b1)
            clk_12_5m <= ~clk_12_5m;
    end        
end

always @(*) begin
    case(lcd_id)
        16'h4342 : lcd_pclk = clk_12_5m;
        16'h7084 : lcd_pclk = clk_25m;       
        16'h7016 : lcd_pclk = clk;
        16'h4384 : lcd_pclk = clk_25m;
        16'h1018 : lcd_pclk = clk;
        default :  lcd_pclk = 1'b0;
    endcase      
end

endmodule

2.tb:

复制代码
module clk_div_tb;

// Parameters
localparam CLK_PERIOD = 20; // 50MHz clock period

// Inputs
reg clk;
reg rst_n;
reg [15:0] lcd_id;

// Outputs
wire lcd_pclk;

// 实例化被测试模块
clk_div u_clk_div(
    .clk(clk), 
    .rst_n(rst_n), 
    .lcd_id(lcd_id), 
    .lcd_pclk(lcd_pclk)
);

initial begin
    // 初始化
    clk = 0;
    rst_n = 0;
    lcd_id = 0;

    // 等待100纳秒用于全局复位
    #100;
    rst_n = 1; // 释放复位

    // 改变lcd_id的值来测试不同的时钟分频情况
    #(CLK_PERIOD*5); // 等待5个时钟周期
    lcd_id = 16'h4342; // 测试12.5MHz输出
    #(CLK_PERIOD*5);
    lcd_id = 16'h7084; // 测试25MHz输出
    #(CLK_PERIOD*5);
    lcd_id = 16'h7016; // 测试50MHz输出
    #(CLK_PERIOD*5);
    lcd_id = 16'h4384; // 再次测试25MHz输出
    #(CLK_PERIOD*5);
    lcd_id = 16'h1018; // 再次测试50MHz输出
    #(CLK_PERIOD*5);
    lcd_id = 16'h0000; // 默认情况,输出低电平
end

// 时钟信号生成
always #(CLK_PERIOD/2) clk = ~clk; // 50MHz时钟信号

endmodule

3.仿真:

相关推荐
forgeda1 小时前
如何将FPGA设计验证效率提升1000倍以上(2)
fpga开发·前沿技术·在线调试·硬件断点·时钟断点·事件断点
9527华安12 小时前
FPGA实现40G网卡NIC,基于PCIE4C+40G/50G Ethernet subsystem架构,提供工程源码和技术支持
fpga开发·架构·网卡·ethernet·nic·40g·pcie4c
search714 小时前
写Verilog 的环境:逻辑综合、逻辑仿真
fpga开发
search720 小时前
Verilog 语法介绍 1-1结构
fpga开发
小眼睛FPGA1 天前
【RK3568+PG2L50H开发板实验例程】Linux部分/FPGA dma_memcpy_demo 读写案例
linux·运维·科技·ai·fpga开发·gpu算力
幸运学者1 天前
xilinx axi datamover IP使用demo
fpga开发
搬砖的小码农_Sky1 天前
XILINX Zynq-7000系列FPGA的架构
fpga开发·架构
热爱学习地派大星1 天前
FPGA矩阵算法实现
fpga开发
热爱学习地派大星2 天前
Xilinx FPGA功耗评估
fpga开发·verilog·vivado·fpga功耗·xpe
搬砖的小码农_Sky2 天前
XILINX Ultrascale+ Kintex系列FPGA的架构
fpga开发·架构