【特权FPGA】之乘法器

完整代码如下:

cpp 复制代码
`timescale 1ns / 1ps

// Company: 
// Engineer:
//
// Create Date:    23:08:36 04/21/08
// Design Name:    
// Module Name:    mux_16bit
// Project Name:   
// Target Device:  
// Tool versions:  
// Description:
//
// Dependencies:
// 
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
// 欢迎加入EDN的FPGA/CPLD助学小组一起讨论:http://group.ednchina.com/1375/

module mux16(
			clk,rst_n,
			start,ain,bin,yout,done
		);
		
input clk;		//芯片的时钟信号。
input rst_n;	//低电平复位、清零信号。定义为0表示芯片复位;定义为1表示复位信号无效。
input start; 	//芯片使能信号。定义为0表示信号无效;定义为1表示芯片读入输入管脚得乘数和被乘数,并将乘积复位清零。
input[15:0] ain;	//输入a(被乘数),其数据位宽为16bit.
input[15:0] bin;	//输入b(乘数),其数据位宽为16bit.
output[31:0] yout;	//乘积输出,其数据位宽为32bit.
output done;		//芯片输出标志信号。定义为1表示乘法运算完成.

reg[15:0] areg;	//乘数a寄存器
reg[15:0] breg;	//乘数b寄存器
reg[31:0] yout_r;	//乘积寄存器
reg done_r;
reg[4:0] i;		//移位次数寄存器


//------------------------------------------------
//数据位控制
always @(posedge clk or negedge rst_n)
	if(!rst_n) i <= 5'd0;
	else if(start && i < 5'd17) i <= i+1'b1; 
	else if(!start) i <= 5'd0;

//------------------------------------------------
//乘法运算完成标志信号产生
always @(posedge clk or negedge rst_n)
	if(!rst_n) done_r <= 1'b0;
	else if(i == 5'd16) done_r <= 1'b1;		//乘法运算完成标志
	else if(i == 5'd17) done_r <= 1'b0;		//标志位撤销

assign done = done_r;

//------------------------------------------------
//专用寄存器进行移位累加运算
always @(posedge clk or negedge rst_n) begin
	if(!rst_n) begin 
			areg <= 16'h0000;
			breg <= 16'h0000;
			yout_r <= 32'h00000000;
		end
	else if(start) begin		//启动运算
			if(i == 5'd0) begin	//锁存乘数、被乘数
					areg <= ain; 
					breg <= bin;
				end
			else if(i > 5'd0 && i < 5'd16) begin
					if(areg[i-1]) yout_r = {1 'b0,yout[30:15]+breg ,yout_r[14:1]};	//累加并移位
					else yout_r <= yout_r>>1;	//移位不累加
				end
			else if(i == 5'd16 && areg[15]) yout_r[31:16] <= yout_r[31:16]+breg;	//累加不移位
		end
end

assign yout = yout_r;

endmodule

核心代码如下:

cpp 复制代码
//------------------------------------------------
//专用寄存器进行移位累加运算
always @(posedge clk or negedge rst_n) begin
	if(!rst_n) begin 
			areg <= 16'h0000;
			breg <= 16'h0000;
			yout_r <= 32'h00000000;
		end
	else if(start) begin		//启动运算
			if(i == 5'd0) begin	//锁存乘数、被乘数
					areg <= ain; 
					breg <= bin;
				end
			else if(i > 5'd0 && i < 5'd16) begin
					if(areg[i-1]) yout_r = {1 'b0,yout[30:15]+breg ,yout_r[14:1]};	//累加并移位
					else yout_r <= yout_r>>1;	//移位不累加
				end
			else if(i == 5'd16 && areg[15]) yout_r[31:16] <= yout_r[31:16]+breg;	//累加不移位
		end
end

assign yout = yout_r;

当i取值为1~15时,如果areg(被乘数)高位不为0,输出的最高位左移一位。就相当与两个数相乘。如果乘数的最高位是0,等于数据除2,右移。

Tips:被乘数是放在乘号(×)前面的数字,而乘数是放在乘号后面的数字!

当i=16,为什么是不是i=15,因为i=0是不做运算。所以当被乘数的最高位是1的时候,数据仅仅需要累加。

相关推荐
北城笑笑1 小时前
FPGA 37 ,FPGA千兆以太网设计实战:RGMII接口时序实现全解析( RGMII接口时序设计,RGMII~GMII,GMII~RGMII 接口转换 )
fpga开发·fpga
soulermax9 小时前
华为数字芯片机考2025合集2已校正
嵌入式硬件·华为·fpga开发·系统架构·硬件架构
1560820721910 小时前
全国产V7-690T核心板/算法验证板/FPGA开发板
fpga开发·信号处理·v7-690t·pcie信号处理模块·jfm7vx690t
做一个优雅的美男子10 小时前
【特权FPGA】之SRAM读写
fpga开发
&Cheems12 小时前
Verilog:LED呼吸灯
fpga开发
soulermax16 小时前
华为数字芯片机考2025合集5已校正
华为·fpga开发
CAOXUN_FPGA1 天前
AD9253 LVDS 高速ADC驱动开发
驱动开发·fpga开发
anhuihbo1 天前
XILINX FPGA万兆光电口PXIE板卡设计
fpga开发
碎碎思1 天前
国产FPGA搭建的复古游戏平台
游戏·fpga开发