ARINC818_FILE

module ARINC818_FILE(

input clk,

input rst_n,

input [31:0] container_cnt, // 容器计数

input [15:0] advb_frame_cnt, // advb帧计数

input advb_frame_last, // ADVB最后一帧

input [15:0] img_width, // 图像宽度

input [15:0] img_high, // 图像高度

output reg [31:0] sofi, // 首帧起始定界符

output reg [31:0] sofn, // 非首帧起始定界符

output reg [31:0] eofn, // 非最后帧结束定界符

output reg [31:0] eoft, // 最后帧结束定界符

output reg [31:0] advb_idle, // advb帧间隙字符

output reg [31:0] frame_words0_r_ctl,

output reg [31:0] frame_words0_dst_id,

output reg [31:0] frame_words1_cs_ctl,

output reg [31:0] frame_words1_src_id,

output reg [31:0] frame_words2_type,

output reg [31:0] frame_words2_f_ctl,

output reg [31:0] frame_words3_seq_id,

output reg [31:0] frame_words3_df_ctl,

output reg [31:0] frame_words3_seq_cnt,

output reg [31:0] frame_words4_OX_RX_ID,

output reg [31:0] frame_words5_parameter,

output reg [31:0] container_words0_cnt,

output reg [31:0] container_words1_id,

output reg [31:0] container_words2_time,

output reg [31:0] container_words3_time,

output reg [31:0] container_words4_fps,

output reg [31:0] container_words4_rate

);

// K28.5, D21.5, D22.2编码示例(ARINC 818协议定义的字符编码)

localparam [7:0] K28_5 = 8'hBC; // 示例码,真实协议确认

localparam [7:0] D21_5 = 8'h95;

localparam [7:0] D22_2 = 8'hB5;

localparam [31:0] SOFI_VAL = {K28_5, D21_5, D22_2, D22_2};

localparam [31:0] SOFN_VAL = {K28_5, D21_5, D21_5, D22_2};

localparam [31:0] EOFN_VAL = {K28_5, D22_2, D21_5, D21_5};

localparam [31:0] EOFT_VAL = {K28_5, D22_2, D22_2, D21_5};

localparam [31:0] ADVB_IDLE_VAL = 32'hBC95_B5B5; // 休止符

// 初始化和状态更新

always @(posedge clk or negedge rst_n) begin

if (!rst_n) begin

sofi <= SOFI_VAL;

sofn <= SOFN_VAL;

eofn <= EOFN_VAL;

eoft <= EOFT_VAL;

advb_idle <= ADVB_IDLE_VAL;

// 这里frame_words*和container_words*初始化为0或默认值

frame_words0_r_ctl <= 32'd0;

frame_words0_dst_id <= 32'd0;

frame_words1_cs_ctl <= 32'd0;

frame_words1_src_id <= 32'd0;

frame_words2_type <= 32'd0;

frame_words2_f_ctl <= 32'd0;

frame_words3_seq_id <= 32'd0;

frame_words3_df_ctl <= 32'd0;

frame_words3_seq_cnt <= 32'd0;

frame_words4_OX_RX_ID <= 32'd0;

frame_words5_parameter <= 32'd0;

container_words0_cnt <= 32'd0;

container_words1_id <= 32'd0;

container_words2_time <= 32'd0;

container_words3_time <= 32'd0;

container_words4_fps <= 32'd0;

container_words4_rate <= 32'd0;

end else begin

// 根据advb_frame_last控制使用SOFI或SOFN

sofi <= advb_frame_last ? SOFI_VAL : sofi;

sofn <= (!advb_frame_last) ? SOFN_VAL : sofn;

eofn <= (!advb_frame_last) ? EOFN_VAL : eofn;

eoft <= advb_frame_last ? EOFT_VAL : eoft;

// 赋值frame_words,示例根据计数器简单赋值,可根据协议详细填写

frame_words0_r_ctl <= {16'd0, advb_frame_cnt}; // 示例:下16位为帧计数

frame_words0_dst_id <= 32'h0000_0001; // 目的ID示例

frame_words1_cs_ctl <= 32'h0000_0000; // 控制字段示例

frame_words1_src_id <= 32'h0000_0002; // 源ID示例

frame_words2_type <= 32'h0000_0001; // 帧类型示例

frame_words2_f_ctl <= 32'h0000_0000; // 帧控制示例

frame_words3_seq_id <= 32'h0000_0000; // 序列ID示例

frame_words3_df_ctl <= 32'h0000_0000; // DF控制示例

frame_words3_seq_cnt <= {16'd0, advb_frame_cnt}; // 序列计数示例

frame_words4_OX_RX_ID <= 32'h0000_0000; // OX/RX示例

frame_words5_parameter <= {img_width, img_high}; // 图片分辨率打包示例

// 容器相关信息直接赋值

container_words0_cnt <= container_cnt;

container_words1_id <= 32'h0000_0001; // 容器ID示例

container_words2_time <= 32'd0; // 时间戳示例

container_words3_time <= 32'd0; // 时间戳示例

container_words4_fps <= 32'd60; // 帧率示例

container_words4_rate <= 32'd1000; // 码率示例

end

end

endmodule

相关推荐
可编程芯片开发2 小时前
基于FPGA的DES加解密系统verilog实现,包含testbench和开发板硬件测试
fpga开发·des·verilog·加解密
GateWorld4 小时前
《深入解析UART协议及其硬件实现》-- 第二篇:UART硬件架构设计与FPGA实现
fpga开发·硬件架构
_Hello_Panda_4 小时前
回头看,FPGA+RK3576方案的功耗性能优势
fpga开发·低功耗·rk3576
国科安芯13 小时前
【AS32X601驱动系列教程】SMU_系统时钟详解
单片机·嵌入式硬件·fpga开发
简简单单做算法1 天前
基于FPGA的二叉决策树cart算法verilog实现,训练环节采用MATLAB仿真
算法·决策树·fpga开发·cart算法·二叉决策树
无情的8861 天前
FPGA中的“BPI“指什么
fpga开发
猫头虎1 天前
什么是 WPF 技术?什么是 WPF 样式?下载、安装、配置、基本语法简介教程
驱动开发·fpga开发·硬件架构·wpf·硬件工程·dsp开发·材料工程
上海易硅智能科技局有限公司2 天前
AG32VH 系列应用指南
单片机·嵌入式硬件·fpga开发·agm芯片
芯有所享2 天前
【芯片设计中的跨时钟域信号处理:攻克亚稳态的终极指南】
经验分享·fpga开发·信号处理