杂谈:DC对Verilog和SystemVerilog语言的支持

DC对Verilog和SystemVerilog语言的支持

设计语言用哪种?

  1. 直接抛出结论:先有电路,后为描述
  2. 设计端而言,没有语言的高低好坏,只有描述的精准与否。本文的实验结果很好的说明了这一点。

Design Compiler对二者的支持

  1. 我们在Design Compiler中读入命令有这样的选项,支持包括v和sv在内的三种主流硬件描述语言。

简单的fsm电路测试

  1. 分别给出一个简单的FSM电路的V代码和SV代码。并基于SAED32nm学习用工艺库来做DCT的实现。
    代码如下
c 复制代码
module fsm(
    clk,
    rst_n,
    x,
    y
);
input  clk;
input  rst_n;
input  x;
output y;

parameter A = 3'd0,
          B = 3'd1,
          C = 3'd2,
          D = 3'd3,
          E = 3'd4;

reg [2:0] cur_state,nxt_state;

always @ (posedge clk or negedge rst_n) begin
    if (!rst_n) begin
        cur_state <= A;
    end
    else begin
        cur_state <= nxt_state;
    end
end

always @(*) begin
    if (!rst_n) begin
        nxt_state = A;
    end
    else begin
        case (cur_state) 
        A : if (x) 
                nxt_state = C;
            else 
                nxt_state = B;
        B : if (x) 
                nxt_state = D;
            else 
                nxt_state = B;
        C : if (x) 
                nxt_state = C;
            else 
                nxt_state = E;
        D : if (x) 
                nxt_state = C;
            else 
                nxt_state = E;
        E : if (x) 
                nxt_state = D;
            else 
                nxt_state = B;
        default: nxt_state = A;
    endcase
    end
end

assign y = (cur_state == D) | (cur_state == E);

endmodule
cpp 复制代码
module fsm(
    clk,
    rst_n,
    x,
    y
);
input logic clk;
input logic rst_n;
input logic x;
output logic y;

typedef enum logic [2:0] {A,B,C,D,E} State;

State cur_state,nxt_state;

always_ff @ (posedge clk or negedge rst_n) begin
    if (!rst_n) begin
        cur_state <= A;
    end
    else begin
        cur_state <= nxt_state;
    end
end

always_comb begin
    if (!rst_n) begin
        nxt_state = A;
    end
    else begin
        case (cur_state) 
            A : if (x) 
                    nxt_state = C;
                else 
                    nxt_state = B;
            B : if (x) 
                    nxt_state = D;
                else 
                    nxt_state = B;
            C : if (x) 
                    nxt_state = C;
                else 
                    nxt_state = E;
            D : if (x) 
                    nxt_state = C;
                else 
                    nxt_state = E;
            E : if (x) 
                    nxt_state = D;
                else 
                    nxt_state = B;
            default: nxt_state = A;
        endcase

    end
end

assign y = (cur_state == D) | (cur_state == E);

endmodule

测试结果对比

  1. 我们对二者进行相同的综合环境设置并读入设计
  2. 分析结果如下:
    sv电路图结果如图所示:

    v电路图描述结果如下图所示:

写在最后

  1. 从上一节的结果来看,综合工具DC对两种语言的支持都是比较完美的,因此还是回归那句话,先有电路,后为描述
相关推荐
Carol063022 天前
20240829版图的层次
ic
Lambor_Ma24 天前
【数字时序】时钟树延迟偏差——CPPR adjustment
ic·芯片设计·soc
Lambor_Ma1 个月前
【ARM】Cortex-A72技术手册(1)
arm开发·架构·硬件架构·ic·soc
Lambor_Ma1 个月前
【ARM】v8架构programmer guide(3)_ARMv8的寄存器
arm开发·架构·硬件架构·ic·fpga·soc·芯片
芯王国3 个月前
ISP IC/FPGA设计-第一部分-SC130GS摄像头分析-IIC通信(1)
fpga开发·ic·接口隔离原则·iic·cmos传感器
relis3 个月前
USB (2)
ic·usb
移知4 个月前
FPGA领域入门佳作,简明深入,夯实基础《FPGA原理和结构》(可下载)
fpga开发·ic·fpga书籍·fpga入门
seu他山之石4 个月前
PT通过size vt修时序脚本
ic
孤独的单刀4 个月前
基于FPGA的数字信号处理(10)--定点数的舍入模式(1)四舍五入round
fpga开发·ic·xilinx·altera·四舍五入·定点数·浮点数
孤独的单刀4 个月前
基于FPGA的数字信号处理(5)--Signed的本质和作用
fpga开发·ic·verilog·xilinx·altera·signed·unsigned