「Verilog学习笔记」多bit MUX同步器

专栏前言

本专栏的内容主要是记录本人学习Verilog过程中的一些知识点,刷题网站用的是牛客网

输入数据暂存在data_reg中,使能信号data_en用打两拍的方式跨时钟域传输到时钟域B,最后data_out根据使能信号更新数据。data_en信号在A时钟域用一个D触发器暂存,然后打两拍传输到B时钟域。根据同步到B时钟域的使能信号data_en_b1,更新输出。

`timescale 1ns/1ns

module mux(
	input 				clk_a	, 
	input 				clk_b	,   
	input 				arstn	,
	input				brstn   ,
	input		[3:0]	data_in	,
	input               data_en ,

	output reg  [3:0] 	dataout
);
	reg [3:0] data_reg ; 
	reg		  data_en_a, data_en_b0, data_en_b1 ; 

	always @ (posedge clk_a or negedge arstn) begin 
		if (~arstn) data_reg <= 0 ; 
		else data_reg <= data_in ; 
	end

	always @ (posedge clk_a or negedge arstn) begin 
		if (~arstn) data_en_a <= 0 ; 
		else data_en_a <= data_en ; 
	end

	always @ (posedge clk_b or negedge brstn) begin 
		if (~brstn) begin 
			data_en_b0 <= 0 ; 
			data_en_b1 <= 0 ; 
		end
		else begin 
			data_en_b0 <= data_en_a ;
			data_en_b1 <= data_en_b0 ; 
		end
	end

	always @ (posedge clk_b or negedge brstn) begin 
		if (~brstn) dataout <= 0 ; 
		else dataout <= data_en_b1 ? data_reg : dataout ; 
	end

endmodule
相关推荐
dengqingrui12343 分钟前
【树形DP】AT_dp_p Independent Set 题解
c++·学习·算法·深度优先·图论·dp
我的心永远是冰冰哒1 小时前
ad.concat()学习
学习
ZZZ_O^O1 小时前
二分查找算法——寻找旋转排序数组中的最小值&点名
数据结构·c++·学习·算法·二叉树
slomay3 小时前
关于对比学习(简单整理
经验分享·深度学习·学习·机器学习
hengzhepa3 小时前
ElasticSearch备考 -- Async search
大数据·学习·elasticsearch·搜索引擎·es
小小洋洋5 小时前
BLE MESH学习1-基于沁恒CH582学习
学习
m0_689618286 小时前
水凝胶发生器,不对称设计妙,医电应用前景广
笔记
Ace'6 小时前
每日一题&&学习笔记
笔记·学习
IM_DALLA6 小时前
【Verilog学习日常】—牛客网刷题—Verilog进阶挑战—VL25
学习·fpga开发·verilog学习
挥剑决浮云 -6 小时前
Linux 之 安装软件、GCC编译器、Linux 操作系统基础
linux·服务器·c语言·c++·经验分享·笔记