FPGA之分布式RAM(2)

1) 128 X1 Single Port Distributed RAM

下图中可以看出来,通过2个LUT的组合使用可以串联实现更大深度的分布式RAM.下图中出现了F7BMUX的加入, F7BMUX可以用于LUT输出的选通.

原语调用:

RAM128XIS#(INIT(128'h00000000000000000000000000000000) // Initial contents of RAM)RAM128XIS_inst (

.O(O),// 1-bit data output

.A0(A0),

.Al(AI),// Address[1]

.A(A2),

.A3(A3),

.A4(A4),

.A5(A5),//

Α6(A6),// Address[6] input bit

D(D),//1-bit data input

.WCLK(WCLK), //Write clock input

.WE(WE)//Write enable input);

2) 128 X 1 Dual Port Distributed RAM

128x1的双口RAM需要4个LUT实现,正好是一个SLICEM,并且分别使用了F7BMUX和F7AMUX.

原语调用:

RAM128XID#(INIT(128'h000000))

RAM128XID_inst (

.DPO(DPO),// Read port 1-bit output

.SPO(SPO)// Read/write port I-bit output

.A(A).//Read/write port 7-bit address input

.D(D),//RAM data input

.DPRA(DPRA), // Read port 7-bit address input

.WCLK(WCLK),//Write clock input

.WE(WE)// Write enable input);

3)256 X1 Single Port Distributed RAM

256x1 的单口 RAM 需要 4个 LUT 实现,也正好是一个 SLICEM,并且分别使用了 F7BMUX 和 F7AMUX以及一个 F8MUX.

原语调用:

RAM256XIS #(INIT(256'h00000))

RAM256XIS_inst (

.O(O)// Read/write port 1-bit output

.A(A),// Read/write port 8-bit address input

.WE(WE)// Write enable input

.WCLK(WCLK), // Write clock input

.D(D)//RAM data input );

相关推荐
一口一口吃成大V2 小时前
FPGA随记——FPGA时序优化小经验
fpga开发
贾saisai3 小时前
Xilinx系FPGA学习笔记(九)DDR3学习
笔记·学习·fpga开发
redcocal7 小时前
地平线秋招
python·嵌入式硬件·算法·fpga开发·求职招聘
思尔芯S2C1 天前
高密原型验证系统解决方案(下篇)
fpga开发·soc设计·debugging·fpga原型验证·prototyping·深度调试·多fpga 调试
坚持每天写程序1 天前
xilinx vivado PULLMODE 设置思路
fpga开发
redcocal2 天前
地平线内推码 kbrfck
c++·嵌入式硬件·mcu·算法·fpga开发·求职招聘
邹莉斯3 天前
FPGA基本结构和简单原理
fpga开发·硬件工程
悲喜自渡7213 天前
易灵思FPGA开发(一)——软件安装
fpga开发
ZxsLoves3 天前
【【通信协议ARP的verilog实现】】
fpga开发
爱奔跑的虎子3 天前
FPGA与Matlab图像处理之伽马校正
图像处理·matlab·fpga开发·fpga·vivado·xilinx