FPGA_简单工程_拨码开关

一 框图

二 波形图

三 代码

3.1 工程代码

module bomakiaguan (
    input [15:0] switch,  // 输入16路拨码开关
    output reg [15:0] led  // 输出16个LED灯
);

always @(switch) begin
    led <= switch;  // 将拨码开关的值直接赋给LED灯
end
// 将拨码开关的值直接赋给LED灯
endmodule

3.2 仿真代码

module bomakiaguan_tb;

  reg [15:0] switch;  // 模拟输入,16位拨码开关
  wire [15:0] led;    // 模拟输出,16位LED灯

  bomakiaguan dut (
    .switch(switch),
    .led(led)
  );

  // 初始化拨码开关的值
  initial begin
    switch = 16'b0000000000000000;
    #5;
    switch = 16'b1111000011110000;
    #10;
    switch = 16'b0101010101010101;
    #15;
    switch = 16'b1111111111111111;
    #20;
    switch = 16'b0000000000000000;
    #25;
    $finish;
  end

  // 打印拨码开关和LED灯的值
  always @(switch, led) begin
    $display("Switch: %b, LED: %b", switch, led);
  end

endmodule
相关推荐
望森FPGA14 分钟前
HDLBits中文版,标准参考答案 |2.5 More Verilog Features | 更多Verilog 要点
学习·fpga开发
望森FPGA3 小时前
HDLBits中文版,标准参考答案 |3.1.1 Basic Gates | 基本门电路
学习·fpga开发
IM_DALLA14 小时前
【Verilog学习日常】—牛客网刷题—Verilog进阶挑战—VL25
学习·fpga开发·verilog学习
辣个蓝人QEX15 小时前
【FPGA开发】Modelsim如何给信号分组
fpga开发·modelsim·zynq
li星野18 小时前
ZYNQ:点亮LED灯
fpga开发·zynq·7010
9527华安18 小时前
FPGA实现PCIE视频采集转HDMI输出,基于XDMA中断架构,提供3套工程源码和技术支持
fpga开发·音视频·pcie·xdma·ov5640·hdmi
乌恩大侠19 小时前
【Xcode Command Line Tools】安装指南
macos·fpga开发·c
apple_ttt20 小时前
从零开始讲PCIe(9)——PCIe总线体系结构
fpga开发·fpga·pcie
Little Tian1 天前
信号用wire类型还是reg类型定义
fpga开发
apple_ttt2 天前
从零开始讲PCIe(6)——PCI-X概述
fpga开发·fpga·pcie