FPGA组合逻辑电路设计之译码器

在数字电路中可以根据电路功能的不同分为,组合逻辑电路与时序逻辑电路。组合逻辑
电路在逻辑功能上的特点是任意时刻的输出仅仅取决于该时刻的输入,与电路原来的状态无
关。而时序逻辑从电路特征上看来,其特点为任意时刻的输出不仅取决于该时刻的输入,而
且还和电路原来的状态有关。组合逻辑电路在电路结构上,不涉及对信号跳变沿的处理,无
存储电路,也没有反馈电路,通常可以通过真值表的形式表达出来。时序逻辑电路在电路结
构上,不管输入如何变化,仅当时钟的沿(上升沿或下降沿)到达时,才有可能使输出发生
变化。
译码器( Decoder )是一种多输入多输出的组合逻辑电路,负责将二进制代码翻译为特
定的对象(如逻辑电平等),功能与编码器相反。译码器一般分为通用译码器和数字显示译
码器两大类。 以三八译码器为例,即将 3 种输入状态翻译成 8 种输出状态,其真值表如下表所示,其 中 A , B , C 为数据输入, Out 为数据输出。在 MCU 应用中,如果需要保证一定的速度情况 下实现此功能,一般选取外挂一片 74HC38 或者 74LS38 等独立芯片,但 FPGA 提供了一个
完整的想象以及实现空间,仅靠其自身即可实现设计要求。

根据 3-8 译码器真值表可以看出,本模块有 a 、 b 、 c 三 个输入端以及一个 8bit 的输出端 out 。这样就可以得出如下图所示的模块接口图以及如下表 的接口功能描述。


由上表可以得出如下的接口列表。对接口列表进行定义。
module decoder3_8**(**
a**,**
b**,**
c**,**
out
);
input a**;** //输入端口a
input b**;** //输入端口b
input c**;** //输入端口c
output [ 7**:** 0**]** out**;//输出端口out
reg [ 7
:** 0**]** out**;**
always@( a**,** b**,** c**)begin**
case({ a**,** b**,** c**})**
3'b000**:** out = 8'b0000_0001**;**
3'b001**:** out = 8'b0000_0010**;**
3'b010**:** out = 8'b0000_0100**;**
3'b011**:** out = 8'b0000_1000**;**
3'b100**:** out = 8'b0001_0000**;**
3'b101**:** out = 8'b0010_0000**;**
3'b110**:** out = 8'b0100_0000**;**
3'b111**:** out = 8'b1000_0000**;**
endcase
end
endmodule

相关推荐
apple_ttt12 小时前
从零开始讲PCIe(6)——PCI-X概述
fpga开发·fpga·pcie
水饺编程14 小时前
【英特尔IA-32架构软件开发者开发手册第3卷:系统编程指南】2001年版翻译,1-2
linux·嵌入式硬件·fpga开发
apple_ttt15 小时前
从零开始讲PCIe(5)——66MHZ的PCI总线与其限制
fpga开发·fpga·pcie
最好有梦想~17 小时前
FPGA时序分析和约束学习笔记(2、FPGA时序传输模型)
fpga开发
IM_DALLA17 小时前
【Verilog学习日常】—牛客网刷题—Verilog企业真题—VL76
学习·fpga开发
诚实可靠小郎君95271 天前
FPGA IO延迟的约束与脚本
fpga开发·fpga·数字电路
GGGLF2 天前
FPGA-UART串口接收模块的理解
fpga开发
北京太速科技股份有限公司2 天前
太速科技-495-定制化仪器户外便携式手提触摸一体机
fpga开发
9527华安2 天前
FPGA实现PCIE图片采集转HDMI输出,基于XDMA中断架构,提供3套工程源码和技术支持
fpga开发·pcie·xdma·hdmi
水饺编程2 天前
简易CPU设计入门:取指令(三),ip_buf与rd_en的非阻塞赋值
fpga开发