FPGA搭积木之计数器

目录

1.前言

在使用FPGA设计硬件时经常会用到计数器,虽然很简单,但是每次都要反复去写计数器,显得很麻烦而且效率低。今天就分享一个之前自己设计的计数器,可以调整位宽,以及选择是向上或向下计数。

2.设计

不含输入计数值的:

verilog 复制代码
`timescale 1ns / 1ps

module counter #(parameter CNT_NUM = 4'd8,
				parameter	ADD = 1'b1)
(	
	input							clk			,
	input							rst_n		,
	input							En_cnt		,
	
	output	reg	[$clog2(CNT_NUM) - 1:0]	cnt		,
	output							cnt_last			
);

wire	end_cnt;

always @(posedge clk or negedge rst_n)begin
    if(!rst_n)begin
        cnt <= ADD ? 'd0 : CNT_NUM - 1;
    end
    else if(En_cnt)begin
        if(end_cnt)begin
            cnt <= ADD ? 'd0 : CNT_NUM - 1;
		end
        else begin
            cnt <= ADD ? cnt + 1'b1 : cnt - 1'b1;
		end
    end
end

assign end_cnt = ADD ? cnt == CNT_NUM - 1 : cnt == 0;
assign cnt_last = end_cnt ? 1'b1:1'b0;
endmodule

含最大计数值输入的:

verilog 复制代码
`timescale 1ns / 1ps

module counter_in #(parameter CNT_NUM = 4'd8,
				parameter	ADD = 1'b1)
(	
	input								clk			,
	input								rst_n		,
	input								En_cnt		,
	input		[$clog2(CNT_NUM) - 1:0]	cnt_din		,
	
	output	reg	[$clog2(CNT_NUM) - 1:0]	cnt			,
	output								cnt_last			
);

wire	end_cnt;

always @(posedge clk or negedge rst_n)begin
    if(!rst_n)begin
        cnt <= ADD ? 'd0 : cnt_din;
    end
    else if(En_cnt)begin
        if(end_cnt)begin
            cnt <= ADD ? 'd0 : cnt_din;
		end
        else begin
            cnt <= ADD ? cnt + 1'b1 : cnt - 1'b1;
		end
    end
end

assign end_cnt = ADD ? cnt == cnt_din : cnt == 0;
assign cnt_last = end_cnt ? 1'b1:1'b0;
endmodule

3仿真

verilog 复制代码
`timescale 1ns / 1ps
module counter_tb();
parameter CNT_NUM = 4'd8;
parameter	ADD = 1'b0;
parameter T = 10;
reg								clk		 	;
reg								rst_n	 	;
reg								En_cnt		;
reg		[$clog2(CNT_NUM) - 1:0]	cnt_din		;
wire	[$clog2(CNT_NUM) - 1:0]	cnt		 	;
wire							cnt_last	;

counter_in #(.CNT_NUM(CNT_NUM),
			.ADD(ADD))
counter_test(
.clk		(clk		),	
.rst_n		(rst_n		),
.En_cnt		(En_cnt		),
.cnt_din	(cnt_din	),         
.cnt		(cnt		),	
.cnt_last	(cnt_last	)
);		

always #(T/2) clk = ~clk;

initial begin
	clk = 1'b0;
	cnt_din = CNT_NUM -1;
	rst_n = 1'b0;
	#(10*T)
	rst_n = 1'b1;
	En_cnt = 1'b0;
	#(10*T)
	En_cnt = 1'b1;
	
end			 
endmodule

此合集持续分享一些笔者自己设计的可复用硬件模块:FPGA搭积木

相关推荐
IM_DALLA1 小时前
【Verilog学习日常】—牛客网刷题—Verilog进阶挑战—VL25
学习·fpga开发·verilog学习
辣个蓝人QEX1 小时前
【FPGA开发】Modelsim如何给信号分组
fpga开发·modelsim·zynq
li星野5 小时前
ZYNQ:点亮LED灯
fpga开发·zynq·7010
9527华安5 小时前
FPGA实现PCIE视频采集转HDMI输出,基于XDMA中断架构,提供3套工程源码和技术支持
fpga开发·音视频·pcie·xdma·ov5640·hdmi
乌恩大侠6 小时前
【Xcode Command Line Tools】安装指南
macos·fpga开发·c
apple_ttt7 小时前
从零开始讲PCIe(9)——PCIe总线体系结构
fpga开发·fpga·pcie
Little Tian10 小时前
信号用wire类型还是reg类型定义
fpga开发
apple_ttt1 天前
从零开始讲PCIe(6)——PCI-X概述
fpga开发·fpga·pcie
水饺编程1 天前
【英特尔IA-32架构软件开发者开发手册第3卷:系统编程指南】2001年版翻译,1-2
linux·嵌入式硬件·fpga开发
apple_ttt1 天前
从零开始讲PCIe(5)——66MHZ的PCI总线与其限制
fpga开发·fpga·pcie