乘法与位运算

目录

描述

输入描述:

输出描述:

参考代码


描述

题目描述:

进行一个运算单元的电路设计,A[7:0]*11111011,尽量用最少的资源实现,写出对应的 RTL 代码。

信号示意:

A信号输入

B 信号输出

波形示意图:

输入描述:

A信号输入

输出描述:

B 信号输出

参考代码

cpp 复制代码
`timescale 1ns/1ns

module dajiang13(
    input  [7:0]    A,
    output [15:0]   B
	);

//*************code***********//
    wire [15:0] reg1;
    wire [15:0] reg2;
    wire [15:0] reg3;
 
    assign reg1 = { A, {8{1'b0}}};
    assign reg2 = { {6{1'b0}} , A ,{2{1'b0}}};
    assign reg3 = { {8{1'b0}} , A};
 
    assign B = reg1 - reg2 - reg3;

//*************code***********//

endmodule
相关推荐
chinalihuanyu6 小时前
FPGA程序设计
fpga开发
king_machine design7 小时前
状态机与时钟分频
fpga开发
LabVIEW开发9 小时前
如何在LabVIEW中使用FPGA模块
fpga开发·labview·labview开发
爱吃饼干的小白鼠17 小时前
课程设计——基于FPGA的双向移位寄存器
fpga开发
king_machine design19 小时前
序列检测器(Moore型)
fpga开发
icysmile1311 天前
Zynq7000系列FPGA中的DMA控制器——PL外设请求接口
fpga开发
icysmile1311 天前
Zynq7000系列FPGA中的DMA控制器编程指南
fpga开发·dma
ehiway1 天前
中科亿海微成功入选2023“科创中国”先导技术榜
fpga开发
今天你fpga了嘛1 天前
以太网常用协议——ARP协议
fpga开发
芯王国2 天前
ISP IC/FPGA设计-第一部分-SC130GS摄像头分析-IIC通信(1)
fpga开发·ic·接口隔离原则·iic·cmos传感器