状态机与时钟分频

目录

描述

输入描述:

输出描述:

参考代码


描述

题目描述:

使用状态机实现时钟分频,要求对时钟进行四分频,占空比为0.25

信号示意图:

clk为时钟

rst为低电平复位

clk_out 信号输出

Ps 本题题解是按照1000的状态转移进行的,不按照此状态进行,编译器可能报错但没有影响。

波形示意图:

输入描述:

clk为时钟

rst为低电平复位

输出描述:

clk_out 信号输出

参考代码

cpp 复制代码
`timescale 1ns/1ns

module huawei7(
	input wire clk  ,
	input wire rst  ,
	output reg clk_out
);

//*************code***********//
    parameter [1:0] s0 = 2'b00, 
                    s1 = 2'b01,
                    s2 = 2'b10,
                    s3 = 2'b11;
    
    reg [1:0] state, next_state;
    
    always @ (posedge clk, negedge rst) begin
        if(!rst) 
            state <= s0;
        else
            state <= next_state;
    end
    
    always @ (state) begin
        case(state)
            s0: begin
                next_state <= s1;
                clk_out <= 1'b0;
            end
            s1: begin
                next_state <= s2;
                clk_out <= 1'b1;
            end  
            s2: begin
                next_state <= s3;
                clk_out <= 1'b0;
            end   
            s3: begin
                next_state <= s0;
                clk_out <= 1'b0;
            end
            default: begin
                next_state <= s0;
                clk_out <= 1'b0;
            end
        endcase
    end
    
    


//*************code***********//
endmodule
相关推荐
szxinmai主板定制专家24 分钟前
【NI国产替代】基于国产FPGA+全志T3的全国产16振动+2转速(24bits)高精度终端采集板卡
人工智能·fpga开发
stm 学习ing28 分钟前
HDLBits训练4
经验分享·笔记·fpga开发·课程设计·fpga·eda·verilog hdl
cckkppll29 分钟前
判断实例化或推断的时机
fpga开发
博览鸿蒙2 小时前
选择FPGA开发,学历是硬性要求吗?
fpga开发
周湘zx1 天前
项目三:信号源的FPGA实现
fpga开发
9527华安1 天前
FPGA多路MIPI转FPD-Link视频缩放拼接显示,基于IMX327+FPD953架构,提供2套工程源码和技术支持
fpga开发·架构·音视频
上理考研周导师1 天前
【FPGA】ISE13.4操作手册,新建工程示例
fpga开发
技术小白爱FPGA1 天前
Xilinx 平台 drp 动态调节 mmcm
fpga开发
北京太速科技股份有限公司1 天前
太速科技-889-基于RFSOC XCZU49DR的 16T16R的软件无线电硬件
fpga开发
stm 学习ing1 天前
HDLBits训练5
c语言·fpga开发·fpga·eda·hdlbits·pld·hdl语言