加减计数器

目录

描述

输入描述:

输出描述:

参考代码


描述

请编写一个十进制计数器模块,当mode信号为1,计数器输出信号递增,当mode信号为0,计数器输出信号递减。每次到达0,给出指示信号zero。

模块的接口信号图如下:

模块的时序图如下:

请使用Verilog HDL实现以上功能,并编写testbench验证模块的功能

输入描述:

clk:系统时钟信号

rst_n:复位信号,低电平有效

mode:模式选择信号,当该信号为1,计数器每个时钟加一;为0,则每个时钟减一。

输出描述:

number:4比特位宽,计数器当前输出读数。

zero:过零指示信号,当number为0时,该信号为1,其他时刻为0.

参考代码

cpp 复制代码
`timescale 1ns/1ns

module count_module(
	input clk,
	input rst_n,
	input mode,
	output reg [3:0]number,
	output reg zero
	);

	reg [3:0]num;
	always @(posedge clk or negedge rst_n)
		if (!rst_n)
			begin 
				zero <= 1'd0;
			end
		else if (num == 4'd0)
			begin
				zero <= 1'b1;
			end
		else 
			begin	
				zero <= 1'b0;
			end
		
	always @(posedge clk or negedge rst_n)
		if (!rst_n)
			begin 
				num <= 4'b0;
			end
		else if(mode)
			begin
				if(num == 9)
					num <= 0;
				else
					num <= num + 1'd1;
			end
		else if(!mode)
			begin
				if(num == 0)
					num <= 9;
				else
					num <= num - 1'd1;
			end
		else num <= num;
		
	always @(posedge clk or negedge rst_n)
		if (!rst_n)
			begin 
				number <= 4'd0;
			end
		else 
			begin	
				number <= num;
			end
endmodule
相关推荐
今天你fpga了嘛2 天前
五、一个quad同时支持pcie和sfp两种高速接口的ref时钟配置
fpga开发
电子小白的每一天2 天前
FPGA开发——状态机的使用
vscode·fpga开发·fpga
千穹凌帝2 天前
SpinalHDL之仿真(八)
fpga开发
电子小白的每一天2 天前
FPGA开发——基于Quartus的工程建立以及下载仿真全过程
vscode·fpga开发·fpga
cckkppll2 天前
vivado MAX_NAMES
fpga开发
nwpu0617013 天前
modelsim仿真quartus IP
fpga开发
十三啊嘞3 天前
高速总线概述(二):高速总线技术要点(比特流高速数据传输,编、解码、CRC校验及扰码结构,链路同步)
fpga开发·串行高速总线
神仙约架3 天前
【INTEL(ALTERA)】当使用 F-Tile 以太网 FPGA 硬 IP 并启用自动协商和链路训练 (AN/LT) 时,为什么链路训练无法完成?
网络协议·tcp/ip·fpga开发
张明阳.3 天前
调用IP实现数据加速
fpga开发
爱奔跑的虎子3 天前
详解DDR3原理以及使用Xilinx MIG IP核(app 接口)实现DDR3读写测试
fpga开发·fpga·vivado·xilinx·mig·ddr3