thetas计算

c 复制代码
original_sig = 90 + 90*1i;

theta = 120;

hudu = theta/180*pi;

see_hudu = exp(1i*hudu);

aaa= original_sig*see_hudu;


real_k = round(real(aaa))
imag_k = round(imag(aaa))

FPGA

c 复制代码
`timescale 1ns / 1ps
//
// Company: 
// Engineer: 
// 
// Create Date: 2024/08/20 16:32:39
// Design Name: 
// Module Name: thetas
// Project Name: 
// Target Devices: 
// Tool Versions: 
// Description: 
// 
// Dependencies: 
// 
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
// 
//


module thetas(
    clk,
    rst,
    theta,
    
    o_real_k,
    o_imag_k
);
input clk;
input rst;
input [7:0] theta;

output [7:0] o_real_k;
output [7:0] o_imag_k;

wire [18 : 0] P_hudu;// A * 0.0175 * 2^16
mult_hudu_8_1143 mult_hudu_8_1143 (
  .CLK(clk),  // input wire CLK
  .A(theta),      // input wire [7 : 0] A 
  .P(P_hudu)      // output wire [18 : 0] P Q3.16
);

wire [31 : 0] m_axis_dout_tdata;
see_hudu see_hudu (
  .aclk(clk),                                // input wire aclk
  .aclken(1'b1),                            // input wire aclken
  .aresetn(~rst),                          // input wire aresetn
  .s_axis_phase_tvalid(1'b1),  // input wire s_axis_phase_tvalid
  .s_axis_phase_tdata(P_hudu[18:3]),    // input wire [15 : 0] s_axis_phase_tdata
  .m_axis_dout_tvalid( ),    // output wire m_axis_dout_tvalid
  .m_axis_dout_tdata(m_axis_dout_tdata)      // output wire [31 : 0] m_axis_dout_tdata
);

wire [31 : 0] real_k,imag_k;
cmpy_8_16 cmpy_8_16 (
  .aclk(clk),                              // input wire aclk
  .aclken(1'b1),                          // input wire aclken
  .aresetn(~rst),                        // input wire aresetn
  .s_axis_a_tvalid(1'b1),        // input wire s_axis_a_tvalid
  .s_axis_a_tdata({8'd90,8'd90}),          // input wire [15 : 0] s_axis_a_tdata
  .s_axis_b_tvalid(1'b1),        // input wire s_axis_b_tvalid
  .s_axis_b_tdata(m_axis_dout_tdata),          // input wire [31 : 0] s_axis_b_tdata
  .m_axis_dout_tvalid( ),  // output wire m_axis_dout_tvalid
  .m_axis_dout_tdata({imag_k,real_k})    // output wire [63 : 0] m_axis_dout_tdata
);

assign o_real_k = real_k[21:14];
assign o_imag_k = imag_k[21:14];

endmodule
相关推荐
行者..................12 小时前
ZYNQ MPSOC FPGA 仿真 教程
fpga开发·fpga
迪捷软件15 小时前
征稿啦!第 19 届「中国 Linux 内核开发者大会」重磅启动
linux·运维·fpga开发
有钱挣的地方就是江湖21 小时前
xilinx通用RAM或者FIFO设计
fpga开发
行者..................1 天前
FPGA 时钟约束压缩程序硬件固化下载
fpga开发
FakeOccupational1 天前
fpga系列 HDL:全连接层的浮点数乘法器FM实现
fpga开发
一口一口吃成大V2 天前
FPGA随记——小说 可综合和不可综合
fpga开发
碎碎思2 天前
FPGA实现串口升级及MultiBoot(三)FPGA启动加载方式
fpga开发
2301_797164712 天前
用于完善智能电表设计的 FPGA 到 ASIC 研究
单片机·嵌入式硬件·fpga开发·智能电表
第二层皮-合肥2 天前
基于FPGA与RK3588的多通道低延时3G-SDI视频信号
fpga开发
贾saisai2 天前
Xilinx系FPGA学习笔记(六)RAM的IP核学习
笔记·学习·fpga开发