thetas计算

c 复制代码
original_sig = 90 + 90*1i;

theta = 120;

hudu = theta/180*pi;

see_hudu = exp(1i*hudu);

aaa= original_sig*see_hudu;


real_k = round(real(aaa))
imag_k = round(imag(aaa))

FPGA

c 复制代码
`timescale 1ns / 1ps
//
// Company: 
// Engineer: 
// 
// Create Date: 2024/08/20 16:32:39
// Design Name: 
// Module Name: thetas
// Project Name: 
// Target Devices: 
// Tool Versions: 
// Description: 
// 
// Dependencies: 
// 
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
// 
//


module thetas(
    clk,
    rst,
    theta,
    
    o_real_k,
    o_imag_k
);
input clk;
input rst;
input [7:0] theta;

output [7:0] o_real_k;
output [7:0] o_imag_k;

wire [18 : 0] P_hudu;// A * 0.0175 * 2^16
mult_hudu_8_1143 mult_hudu_8_1143 (
  .CLK(clk),  // input wire CLK
  .A(theta),      // input wire [7 : 0] A 
  .P(P_hudu)      // output wire [18 : 0] P Q3.16
);

wire [31 : 0] m_axis_dout_tdata;
see_hudu see_hudu (
  .aclk(clk),                                // input wire aclk
  .aclken(1'b1),                            // input wire aclken
  .aresetn(~rst),                          // input wire aresetn
  .s_axis_phase_tvalid(1'b1),  // input wire s_axis_phase_tvalid
  .s_axis_phase_tdata(P_hudu[18:3]),    // input wire [15 : 0] s_axis_phase_tdata
  .m_axis_dout_tvalid( ),    // output wire m_axis_dout_tvalid
  .m_axis_dout_tdata(m_axis_dout_tdata)      // output wire [31 : 0] m_axis_dout_tdata
);

wire [31 : 0] real_k,imag_k;
cmpy_8_16 cmpy_8_16 (
  .aclk(clk),                              // input wire aclk
  .aclken(1'b1),                          // input wire aclken
  .aresetn(~rst),                        // input wire aresetn
  .s_axis_a_tvalid(1'b1),        // input wire s_axis_a_tvalid
  .s_axis_a_tdata({8'd90,8'd90}),          // input wire [15 : 0] s_axis_a_tdata
  .s_axis_b_tvalid(1'b1),        // input wire s_axis_b_tvalid
  .s_axis_b_tdata(m_axis_dout_tdata),          // input wire [31 : 0] s_axis_b_tdata
  .m_axis_dout_tvalid( ),  // output wire m_axis_dout_tvalid
  .m_axis_dout_tdata({imag_k,real_k})    // output wire [63 : 0] m_axis_dout_tdata
);

assign o_real_k = real_k[21:14];
assign o_imag_k = imag_k[21:14];

endmodule
相关推荐
apple_ttt13 小时前
SystemVerilog学习——虚拟接口(Virtual Interface)
fpga开发·fpga·systemverilog·uvm
学习路上_write1 天前
FPGA/Verilog,Quartus环境下if-else语句和case语句RT视图对比/学习记录
单片机·嵌入式硬件·qt·学习·fpga开发·github·硬件工程
jjjxxxhhh1231 天前
FPGA,使用场景,相比于单片机的优势
单片机·嵌入式硬件·fpga开发
诚实可靠小郎君95271 天前
FPGA高速设计之Aurora64B/66B的应用与不足的修正
fpga开发·aurora·高速通信
百锦再1 天前
基于Zynq FPGA对雷龙SD NAND的测试
fpga开发
∑狸猫不是猫2 天前
HDLBIts习题(4):边沿检测、分频计数器、多位BCD计数器
fpga开发
黑旋风大李逵2 天前
FPGA使用Verilog实现CAN通信
fpga开发·can通信·sja1000t·fpga实现can通信
hi942 天前
PYNQ 框架 - 中断(INTR)驱动
嵌入式硬件·fpga开发·zynq·pynq
transfer_ICer3 天前
Vscode搭建verilog开发环境
vscode·fpga开发·编辑器
沐欣工作室_lvyiyi3 天前
汽车牌照识别系统的设计与仿真(论文+源码)
人工智能·单片机·fpga开发·汽车·单片机毕业设计·matlab车牌识别