0 trivial:UVM的空壳平台

原始代码及log

cpp 复制代码
package pkg;

import uvm_pkg::*;
`include "uvm_macros.svh"

class my_component extends uvm_component;

  function new(string name, uvm_component parent);
    super.new(name, parent);
  endfunction

  task run_phase(uvm_phase phase);
    phase.raise_objection(this);
    `uvm_info("component", "hello out there!", UVM_MEDIUM)
    phase.drop_objection(this);
  endtask

endclass

endpackage

module test;
   import uvm_pkg::*;
   import pkg::*;
   my_component t;
   
   initial begin
      t = new("Top", null);
      run_test();
   end
endmodule // test



----------------------------------------------------------------

  ***********       IMPORTANT RELEASE NOTES         ************

  You are using a version of the UVM library that has been compiled
  with `UVM_NO_DEPRECATED undefined.
  See http://www.eda.org/svdb/view.php?id=3313 for more details.

      (Specify +UVM_NO_RELNOTES to turn off this notice)

UVM_INFO @ 0: reporter [RNTST] Running test ...
UVM_INFO ../../../src/base/uvm_objection.svh(1267) @ 0: reporter [TEST_DONE] 'run' phase is ready to proceed to the 'extract' phase

--- UVM Report Summary ---

** Report counts by severity
UVM_INFO :    2
UVM_WARNING :    0
UVM_ERROR :    0
UVM_FATAL :    0
** Report counts by id
[RNTST]     1
[TEST_DONE]     1
$finish called from file "../../../src/base/uvm_root.svh", line 430.
$finish at simulation time                    0
           V C S   S i m u l a t i o n   R e p o r t 
Time: 0 ns

"trivial" :"微不足道的"或"简单的"

上面是极其简单的UVM用例,算起走入UVM的钥匙,没啥好分析的

相关推荐
快乐非自愿1 小时前
Java函数式接口——渐进式学习
java·开发语言·学习
心动啊1212 小时前
负载均衡 + Nginx的基本使用
学习·nginx·负载均衡
菜鸟‍2 小时前
【课程学习】
学习·信息与通信
暗然而日章2 小时前
C++基础:Stanford CS106L学习笔记 11 Lambdas表达式
c++·笔记·学习
lxh01132 小时前
2025/12/19学习记录
学习
辞旧 lekkk2 小时前
【c++】c++11(上)
开发语言·c++·学习·萌新
走在路上的菜鸟2 小时前
Android学Dart学习笔记第二十一节 类-点的简写
android·笔记·学习·flutter
黑客思维者2 小时前
机器学习009:监督学习【回归算法】(岭回归)-- 给模型一个“清醒”的约束
学习·机器学习·回归·监督学习·岭回归
深蓝海拓2 小时前
PySide6从0开始学习的笔记(十一) QSS 属性选择器
笔记·python·qt·学习·pyqt