「Verilog学习笔记」编写乘法器求解算法表达式

专栏前言

本专栏的内容主要是记录本人学习Verilog过程中的一些知识点,刷题网站用的是牛客网

复制代码
`timescale 1ns/1ns

module calculation(
	input clk,
	input rst_n,
	input [3:0] a,
	input [3:0] b,
	output [8:0] c
	);

	reg [8:0] data1, data2 ; 

	assign c = data2 ; 

	always @ (posedge clk or negedge rst_n) begin 
		if (!rst_n) data1 <= 0 ; 
		else data1 <= (a << 3) + (a << 2) + (b << 2) + (b << 0) ; 
	end

	always @ (posedge clk or negedge rst_n) begin 
		if (!rst_n) begin
			data1 <= 0 ; 
			data2 <= 0 ; 
		end
		else data2 <= data1 ; 
	end

endmodule
相关推荐
TheSumSt1 天前
Python丨课程笔记Part3:语法进阶部分(控制结构与基础数据结构)
数据结构·笔记·python
.鸣1 天前
set和map
java·学习
confiself1 天前
MAI-UI技术报告学习
学习
IT19951 天前
Qt笔记-使用SSH2进行远程连接linux服务器并上传文件
linux·服务器·笔记
XXYBMOOO1 天前
内核驱动开发与用户级驱动开发:深度对比与应用场景解析
linux·c++·驱动开发·嵌入式硬件·fpga开发·硬件工程
利刃大大1 天前
【2025年度创作总结】从笔记到实践,从思考到生活融合
笔记·生活
知识分享小能手1 天前
Ubuntu入门学习教程,从入门到精通,Ubuntu 22.04文件压缩与解压缩知识点详解(12)
linux·学习·ubuntu
航Hang*1 天前
Photoshop 图形与图像处理技术——第1章:数字图像基本知识
图像处理·笔记·ui·photoshop
iconball1 天前
个人用云计算学习笔记 --37 Zabbix
运维·笔记·学习·云计算·zabbix
FPGAI1 天前
Java学习之计算机存储规则、数据类型、标识符、键盘录入、IDEA
java·学习