「Verilog学习笔记」编写乘法器求解算法表达式

专栏前言

本专栏的内容主要是记录本人学习Verilog过程中的一些知识点,刷题网站用的是牛客网

复制代码
`timescale 1ns/1ns

module calculation(
	input clk,
	input rst_n,
	input [3:0] a,
	input [3:0] b,
	output [8:0] c
	);

	reg [8:0] data1, data2 ; 

	assign c = data2 ; 

	always @ (posedge clk or negedge rst_n) begin 
		if (!rst_n) data1 <= 0 ; 
		else data1 <= (a << 3) + (a << 2) + (b << 2) + (b << 0) ; 
	end

	always @ (posedge clk or negedge rst_n) begin 
		if (!rst_n) begin
			data1 <= 0 ; 
			data2 <= 0 ; 
		end
		else data2 <= data1 ; 
	end

endmodule
相关推荐
喵了meme2 小时前
Linux学习日记24:Linux网络编程基础
linux·网络·学习
BullSmall2 小时前
《庄子》导读
学习
HL_风神2 小时前
设计原则之迪米特
c++·学习·设计模式
HL_风神3 小时前
设计原则之合成复用
c++·学习·设计模式
今儿敲了吗4 小时前
C++概述
c++·笔记
Qhumaing4 小时前
C++学习:【PTA】数据结构 7-2 实验6-2(图-邻接表)
数据结构·c++·学习
知行力5 小时前
【GitHub每日速递 20260108】告别云服务弊端,Memos隐私至上自托管笔记服务来袭!
笔记·github
好奇龙猫5 小时前
【日语学习-日语知识点小记-日本語体系構造-JLPT-N2前期阶段-第一阶段(1):再次起航】
学习
wdfk_prog5 小时前
[Linux]学习笔记系列 -- [fs]sysfs
linux·笔记·学习
菜的不敢吱声5 小时前
swift学习第5天
学习·ssh·swift