「Verilog学习笔记」编写乘法器求解算法表达式

专栏前言

本专栏的内容主要是记录本人学习Verilog过程中的一些知识点,刷题网站用的是牛客网

`timescale 1ns/1ns

module calculation(
	input clk,
	input rst_n,
	input [3:0] a,
	input [3:0] b,
	output [8:0] c
	);

	reg [8:0] data1, data2 ; 

	assign c = data2 ; 

	always @ (posedge clk or negedge rst_n) begin 
		if (!rst_n) data1 <= 0 ; 
		else data1 <= (a << 3) + (a << 2) + (b << 2) + (b << 0) ; 
	end

	always @ (posedge clk or negedge rst_n) begin 
		if (!rst_n) begin
			data1 <= 0 ; 
			data2 <= 0 ; 
		end
		else data2 <= data1 ; 
	end

endmodule
相关推荐
深蓝海拓2 小时前
迭代器和生成器的学习笔记
笔记·python·学习
createcrystal2 小时前
《算法笔记》例题解析 第3章入门模拟--3图形输出(9题)2021-03-03
c++·笔记·算法
卡戎-caryon2 小时前
【Linux】09.Linux 下的调试器——gdb/cgdb
linux·运维·服务器·开发语言·笔记
代码敲不对.4 小时前
江科大笔记—软件安装
笔记·stm32·单片机·嵌入式硬件
问道飞鱼5 小时前
每日学习一个数据结构-B+树
数据结构·b树·学习
不染_是非6 小时前
Django学习实战篇六(适合略有基础的新手小白学习)(从0开发项目)
后端·python·学习·django
z2014z6 小时前
系统架构设计师教程 第5章 5.4 软件测试 笔记
笔记·系统架构
Midsummer啦啦啦6 小时前
NumPy库学习之argmax函数
学习·numpy
Mero技术博客6 小时前
第二十节:学习Redis缓存数据库实现增删改查(自学Spring boot 3.x的第五天)
数据库·学习·缓存
&AtTiTuDe;7 小时前
如何使用IIC外设(硬件IIC)
经验分享·笔记·stm32·单片机·嵌入式硬件·硬件工程