Vivado Design Suite中的Routing优化

Vivado Routing(路由器)可以在已布局的设计上进行布线(Routing),并对已布线的设计进行优化,以解决保持时间违规问题。

一、路由器

Vivado路由器从已布局的设计开始,并尝试对所有网络进行布线。它可以从未布线、部分布线或完全布线的已布局设计开始。

对于部分布线的设计,Vivado路由器将使用现有的布线作为起点,而不是从头开始。对于完全布线的设计,路由器会检查时序违规,并尝试重新布线关键部分以满足时序要求。

重新布线的过程通常被称为"rip-up and re-rout"(撕毁并重新布线)。

路由器提供了对整个设计进行布线或对单个网络(net)和引脚(pin)进行布线的选项。

当对整个设计进行布线时,流程是时序驱动的,使用基于时序约束的自动时序进行预算。

可以使用两种不同模式对单个网络和引脚进行布线:

1、交互式路由器模式

使用快速、轻量级的时序建模,以便在交互式会话中提供更高的响应性。这种模式下,预估的延迟是悲观的,因此牺牲了一些延迟准确性。在此模式下,时序约束将被忽略,但有几个选项可以影响布线:
• 基于资源的布线(默认)

路由器从可用的布线资源中选择,从而获得最快的路由器运行时间。
• 最小延迟(使用-delay选项)

路由器尝试从可用的布线资源中实现尽可能小的延迟。
• 延迟驱动(使用-max_delay和-min_delay选项)

基于最大延迟、最小延迟或两者来指定时序要求。路由器尝试以符合指定要求的延迟来布线网络。

在交互式路由器模式下,用户可以通过图形用户界面手动干预布线过程,进行精细的调整和优化。这种模式提供了更大的灵活性和控制力,但可能需要更多的用户输入和专业知识。

2、 自动延迟模式

路由器根据时序约束自动进行时序预算,运行时序驱动的流程。但与默认流程不同,只有指定的网络或引脚会被布线。此模式用于在布线设计的其余部分之前,对关键网络和引脚进行布线。这包括设置关键、保持关键或两者都关键的网络和引脚。自动延迟模式不适用于包含大量布线的设计中的单个网络布线。相反,应该使用交互式布线。

当需要布线多个单个网络和引脚时,为了获得最佳结果,应优先并单独对这些网络和引脚进行布线。这样可以避免对关键布线资源的争用。

即使在编辑网络和引脚的布线时,布线也需要一次性的"运行时开销"来进行初始化。初始化时间随着设计的大小和设备的大小而增加。除非设计被关闭并重新打开,否则路由器不需要重新初始化。

在自动延迟模式下,路由器会自动调整布线,以引入适当的延迟,以满足时序要求。这种模式适用于需要快速、自动化布线的场景,但可能不如交互式模式灵活和精确。用户可以根据设计需求和资源限制选择适合的模式。

二、设计规则检查

在开始布线之前,Vivado工具会运行设计规则检查(DRC),包括:

• 从report_drc中用户选择的DRC

• Vivado路由器引擎内部的内置DRC

三、布线优先级

Vivado Design Suite首先布置全局资源,如时钟、复位、I/O和其他专用资源。

这种默认的优先级内置在Vivado路由器中。然后,路由器根据时序关键性对数据信号进行优先级排序。

在布线过程中,Vivado路由器会考虑多种因素,包括时序约束、资源利用率、信号完整性等。它旨在找到满足所有设计规则和要求的最优布线解决方案。如果设计规则检查(DRC)发现任何潜在问题,路由器可能会调整布线策略或提示用户解决这些问题。

四、不良的时序约束的影响

布线后的时序违规有时是由于不正确的时序约束导致的。在尝试修改路由器设置之前,请确保已经验证了约束和路由器看到的时序情况。通过查看布线前已布局设计的时序报告来验证时序和约束。

不良时序约束影响的常见示例包括:

• 跨时钟路径和多周期路径中,正保持时间要求导致路由延迟插入

• 拥挤的区域,可以通过在RTL综合中进行有针对性的扇出优化或通过物理优化来解决

在探索多种布线选项之前,先审查时序约束并纠正那些无效的约束(或考虑RTL更改)。这样可以避免由于不正确的时序约束导致的布线后时序违规,从而提高设计的性能和可靠性。此外,对时序约束的仔细审查也有助于更好地理解设计的时序行为,从而做出更明智的布线决策。

五、路由器时序摘要

在布线过程结束时,路由器会报告一个使用实际布线延迟计算得出的估计时序摘要。然而,为了提高运行时间,路由器使用增量时序更新,而不是进行完整的时序计算来得出时序摘要。因此,估计的最差负时序裕量(WNS)可能比实际时序更悲观(相差几个ps)。因此,路由器的WNS可能为负值,而实际的WNS为正值。如果路由器报告的估计WNS为负值,这是一个警告,而不是一个严重警告。

提示:当运行route_design -directive Explore时,路由器的时序摘要基于签核(signoff)时序。且必须使用report_timing_summary检查实际的签核时序,或者使用带有-timing_summary选项的route_design运行检查。

确保在布线过程完成后,对实际时序进行验证是非常重要的。虽然路由器提供了估计的时序摘要,但这只是一个近似值,可能并不完全准确。因此,使用专门的时序报告工具或选项来获取最准确的时序信息是非常必要的。这有助于确保设计的时序满足要求,从而提高设计的可靠性和性能。

相关推荐
望森FPGA1 小时前
HDLBits中文版,标准参考答案 |3.1.1 Basic Gates | 基本门电路
学习·fpga开发
IM_DALLA13 小时前
【Verilog学习日常】—牛客网刷题—Verilog进阶挑战—VL25
学习·fpga开发·verilog学习
辣个蓝人QEX13 小时前
【FPGA开发】Modelsim如何给信号分组
fpga开发·modelsim·zynq
li星野17 小时前
ZYNQ:点亮LED灯
fpga开发·zynq·7010
9527华安17 小时前
FPGA实现PCIE视频采集转HDMI输出,基于XDMA中断架构,提供3套工程源码和技术支持
fpga开发·音视频·pcie·xdma·ov5640·hdmi
乌恩大侠18 小时前
【Xcode Command Line Tools】安装指南
macos·fpga开发·c
apple_ttt18 小时前
从零开始讲PCIe(9)——PCIe总线体系结构
fpga开发·fpga·pcie
Little Tian1 天前
信号用wire类型还是reg类型定义
fpga开发
apple_ttt2 天前
从零开始讲PCIe(6)——PCI-X概述
fpga开发·fpga·pcie
水饺编程2 天前
【英特尔IA-32架构软件开发者开发手册第3卷:系统编程指南】2001年版翻译,1-2
linux·嵌入式硬件·fpga开发