Verilog中4位数值比较器电路

某4位数值比较器的功能表如下。

请用Verilog语言采用门级描述方式,实现此4位数值比较器

参考代码如下:

(CSDN代码块不支持Verilog,代码复制到notepad++编辑器中,语言选择Verilog,看得更清楚)

cpp 复制代码
`timescale 1ns/1ns

module comparator_4(
	input		[3:0]       A   	,
	input	   [3:0]		B   	,
 
 	output	 wire		Y2    , //A>B
	output   wire        Y1    , //A=B
    output   wire        Y0      //A<B
);
wire [3:0] y1,y2,y0;
genvar i;
generate
for (i=0;i<4;i=i+1)
compare compare_instance(
 .a(A[i]),
 .b(B[i]),
 .y2(y2[i]),
 .y1(y1[i]),
 .y0(y0[i])
);
endgenerate
assign Y2 = y2[3]|(y1[3]&y2[2])|(y1[3]&y1[2]&y2[1])|(y1[3]&y1[2]&y1[1]&y2[0]);
assign Y1 = &y1;
assign Y0 = ~(Y2|Y1);

endmodule
module compare(
	input wire a,
	input wire b,
	output wire y2,
	output wire y1,
	output wire y0

);
assign y2 = a&(~b);
assign y1 = (a&b)|(~a& ~b);
assign y0 = ~(y2|y1); 
endmodule
相关推荐
深圳信迈科技DSP+ARM+FPGA4 小时前
基于X86+FPGA+AI的智能仓储AGV机器人解决方案
fpga开发
LEEE@FPGA10 小时前
FPGA DDR4读写实验(1)
fpga开发
顺子学不会FPGA10 小时前
SerDes介绍以及原语使用介绍(2)OSERDESE2原语仿真
fpga开发
小慧同学~15 小时前
FPGA/数字IC复习八股
fpga开发
qq_3923999018 小时前
FPGA的理解,个人的见解,不一定对
fpga开发
king8888666618 小时前
FPGA学习路线
fpga开发
神仙约架18 小时前
【总线】AXI4第六课时:寻址选项深入解析
fpga开发·axi·axi4·总线
零度随想18 小时前
使用fifo IP核,给fifo写数据,当检测到ALMOST_EMPTY时,为什么不能立即赋值
fpga开发
深圳信迈科技DSP+ARM+FPGA1 天前
基于x86/ARM+FPGA+AI工业相机的智能工艺缺陷检测,可以检测点状,线状,面状的缺陷
arm开发·fpga开发
攸志1 天前
Chirp信号生成(FPGA、基于cordic IP核)
fpga开发