Xilinx FPGA 管脚的默认电平配置方法 XDC约束

目录

未使用的引脚,XDC约束

c 复制代码
set_property BITSTREAM.CONFIG.UNUSEDPIN PULLDOWN [current_design]
set_property BITSTREAM.CONFIG.UNUSEDPIN PULLUP [current_design]
set_property BITSTREAM.CONFIG.UNUSEDPIN PULLNONE [current_design]

其他已使用的引脚,XDC约束

c 复制代码
set_property PULLDOWN true [get_ports RF28V_EN]
set_property PULLDOWN true [get_ports RF5V_EN]
set_property PULLUP true [get_ports LNA_EN]
set_property PULLDOWN true [get_ports PA_EN]
set_property PULLDOWN true [get_ports bypass_EN]
set_property PULLDOWN true [get_ports C1]
set_property PULLDOWN true [get_ports C2]
set_property PULLDOWN true [get_ports C3]
set_property PULLDOWN true [get_ports C4]
相关推荐
li星野2 小时前
ZYNQ:点亮LED灯
fpga开发·zynq·7010
9527华安2 小时前
FPGA实现PCIE视频采集转HDMI输出,基于XDMA中断架构,提供3套工程源码和技术支持
fpga开发·音视频·pcie·xdma·ov5640·hdmi
乌恩大侠3 小时前
【Xcode Command Line Tools】安装指南
macos·fpga开发·c
apple_ttt4 小时前
从零开始讲PCIe(9)——PCIe总线体系结构
fpga开发·fpga·pcie
Little Tian7 小时前
信号用wire类型还是reg类型定义
fpga开发
apple_ttt1 天前
从零开始讲PCIe(6)——PCI-X概述
fpga开发·fpga·pcie
水饺编程1 天前
【英特尔IA-32架构软件开发者开发手册第3卷:系统编程指南】2001年版翻译,1-2
linux·嵌入式硬件·fpga开发
apple_ttt1 天前
从零开始讲PCIe(5)——66MHZ的PCI总线与其限制
fpga开发·fpga·pcie
最好有梦想~1 天前
FPGA时序分析和约束学习笔记(2、FPGA时序传输模型)
fpga开发
IM_DALLA1 天前
【Verilog学习日常】—牛客网刷题—Verilog企业真题—VL76
学习·fpga开发