【verilog】模十计数器


文章目录


前言

进行 FPGA 模十计数器 实验

  • 仿真结果

代码

  • 主代码
go 复制代码
// module
module count(
	clk,
	rst_n,
	count,
	clk1,
	led
	);


// def io
input clk;
input rst_n;
output reg [3:0] count;
output reg clk1;
output reg [7:0] led;

// always@ part, or main()
always @(posedge clk or negedge rst_n)
begin 
	if (~rst_n)

		begin
			count <= 4'b0000;
			clk1 <= 1'b0;
		end

	else if (count < 4'b0100)
		begin
			count <= count + 1;
			clk1 <= 1'b0;
		end

	else if (count < 4'b1001)
		begin
			count <= count + 1;
			clk1 <= 1'b1;
		end

	else if (count == 4'b1001)
		begin
			count <= 4'b0000;
			clk1 <= 1'b0;
		end
	
// end of whole begin
end




// --------------------------------------- always @ count --------------------------------------- //
always @(count) // todo: write the counter part
begin
	case(count)
		4'd0: led <= 8'b0000_0001;
		4'd1: led <= 8'b0000_0010;
		4'd2: led <= 8'b0000_0100;
		4'd3: led <= 8'b0000_1000;
		4'd4: led <= 8'b0001_0000;
		4'd5: led <= 8'b0010_0000;
		4'd6: led <= 8'b0100_0000;
		4'd7: led <= 8'b1000_0000;
		4'd8: led <= 8'b1111_1111;
		4'd9: led <= 8'b0000_0000;

		// default
		default: led <= 8'b0000_0000;
	endcase
end

endmodule
  • 测试代码
go 复制代码
`timescale 1ns/1ns

// module
module count_tst();
	// distribute value & name
	reg clk;
	reg rst_n;

	wire [3:0] count;
	wire clk1;
	wire [7:0] led;
	
	parameter period = 2;
	
	// init
	initial begin
		clk = 1'b0;
		rst_n = 1'b0;
		
		// delay
		#20 rst_n = 1'b1;
	end

	always
	begin
		#(period/2) clk = ~clk;
	end

	count u0(
		.clk(clk),
		.rst_n(rst_n),
		.count(count),
		.clk1(clk1),
		.led(led)
		);

endmodule

相关推荐
黄埔数据分析2 小时前
smartconnect base_addr offset_addr
fpga开发
自激振荡器5 小时前
0,国产FPGA(紫光同创)-新建PDS工程
fpga开发·verilog·国产fpga·紫光fpga
RIGOL小普9 小时前
如何利用双踪示波器测量两个电压信号的相位差?如何判别波形的超前与滞后?
单片机·嵌入式硬件·fpga开发·硬件工程·射频工程
ikun的男人1 天前
FPGA图像处理仿真:生成数据源的方法
fpga开发
北京太速科技股份有限公司1 天前
太速科技-430-基于RFSOC的8路5G ADC和8路10G的DAC PCIe卡
fpga开发
RIGOL小普1 天前
如何用李萨如图形测正弦信号的频率?若不使用李萨如图形,如何用示波器测交流信号频率?
单片机·嵌入式硬件·fpga开发·硬件工程·射频工程
小眼睛FPGA2 天前
紫光同创——盘古 50KN 网口板
fpga开发·开发板·国产fpga
皮皮宽2 天前
数字IC开发:布局布线
fpga开发·bug·verilog·数字电路设计
北京太速科技股份有限公司3 天前
太速科技-217-A(B)-Base Camera link 转光纤传输双向模块
fpga开发