FPGA 使用 CLOCK_LOW_FANOUT 约束

使用 CLOCK_LOW_FANOUT 约束
您可以使用 CLOCK_LOW_FANOUT 约束在单个时钟区域中包含时钟缓存负载。在由全局时钟缓存直接驱动的时钟网段
上对 CLOCK_LOW_FANOUT 进行设置,而且全局时钟缓存扇出必须低于 2000 个负载。
注释: 当与其他时钟约束配合使用时, CLOCK_LOW_FANOUT 的优先级较低。如果 CLOCK_LOW_FANOUT 与诸如
USER_CLOCK_ROOT 、 CLOCK_DELAY_GROUP 或 CLOCK_DEDICATED_ROUTE 等其他时钟约束发生冲突时,那么将不会
遵从 CLOCK_LOW_FANOUT 约束。
下面的示例所示的 CLOCK_LOW_FANOUT 约束主要用于驱动负载不超过 2000 的时钟网络并将其纳入单个时钟区域。输
入时钟端口 clkIn 不仅针对位于 CLOCK_REGION X2Y0 的 GCIO 提供 PACKAGE_PIN 分配,而且还可驱动 PLLE3_ADV 。
PLLE3_ADV 可驱动全局时钟缓存,其接下来可驱动带有 1379 个负载的时钟网络。全局时钟缓存的负载均位于
CLOCK_REGION X2Y0 。

PACKAGE_PIN AF9 - IOBank 64 - CLOCK_REGION X2Y0

set_property PACKAGE_PIN AF9 [get_ports clkIn]
set_property IOSTANDARD LVCMOS18 [get_ports clkIn]
set_property CLOCK_LOW_FANOUT TRUE [get_nets -of [get_pins clkOut0_bufg_inst/O]]

相关推荐
9527华安13 小时前
Xilinx系列FPGA实现DP1.4视频收发,支持4K60帧分辨率,提供2套工程源码和技术支持
fpga开发·音视频·dp1.4·4k60帧
cycf16 小时前
高速接口基础
fpga开发
forgeda1 天前
从Vivado集成Lint功能,看FPGA设计的日益ASIC化趋势
fpga开发·vivado·lint·eco·静态检查功能
hexiaoyan8271 天前
国产化FPGA开发板:2050-基于JFMK50T4(XC7A50T)的核心板
fpga开发·工业图像输出·vc709e板卡·zynq 通用计算平台·模拟型号处理
雨洛lhw1 天前
The Xilinx 7 series FPGAs 设计PCB 该选择绑定哪个bank引脚,约束引脚时如何定义引脚电平标准?
fpga开发·bank·电平标准
红糖果仁沙琪玛1 天前
FPGA ad9248驱动
fpga开发
minglie12 天前
XSCT/Vitis 裸机 JTAG 调试与常用命令
fpga开发
沐欣工作室_lvyiyi2 天前
基于FPGA的电梯控制系统设计(论文+源码)
单片机·fpga开发·毕业设计·计算机毕业设计·电子交易系统
阿sir1982 天前
ZYNQ PS XADC读取芯片内部温度值,电压值。
fpga开发
@晓凡2 天前
NIOS ii工程移植路径问题
fpga开发·nios ii