clk_divide时钟分频模块

`timescale 1ns / 1ps

//coding format:ANSI GB2312 GBK

//模块名称:clk_divide

//模块作用:clk_divide

//实现思路:对时钟进行分频

//作者:徐后乐

//时间:2025.04.21

module clk_divide #(

parameter DIVIDE=32'd1000

) (

input wire clk ,

input wire rst_n ,

output reg clk_out

);

reg[31:0] count;

localparam DIVIDE_2=DIVIDE/2;

always@(posedge clk or negedge rst_n)

begin

if(!rst_n)

begin

count <='b0;

clk_out <='d0;

end

else

begin

if(count>=(DIVIDE-32'd1))

begin

count <='b0;

end

else

begin

count <=count+'d1;

end

if(count>=DIVIDE_2)

begin

clk_out <=1'b1;

end

else

begin

clk_out <=1'b0;

end

end

end

endmodule

相关推荐
JJ_KING&14 小时前
FPGA实现直流电机转速、电压、电流测量系统(基于EP4CE6F17C8 + INA226)
fpga开发·课程设计
测试专家15 小时前
HKM9000视频处理卡
fpga开发
li星野20 小时前
打工人日报#20251009
fpga开发
cycf21 小时前
Vivado 时序约束的完整作战地图(二)
fpga开发
cycf21 小时前
时钟周期约束(三)
fpga开发
szxinmai主板定制专家21 小时前
RK3588+AI算力卡替代英伟达jetson方案,大算力,支持FPGA自定义扩展
arm开发·人工智能·分布式·fpga开发
ARM+FPGA+AI工业主板定制专家1 天前
基于NVIDIA ORIN+FPGA+AI自动驾驶硬件在环注入测试
人工智能·fpga开发·机器人·自动驾驶
bnsarocket1 天前
Verilog和FPGA的自学笔记4——多路选择器(always语句)
笔记·fpga开发·编程·verilog·自学·硬件编程
爱吃汽的小橘1 天前
使用乒乓ram去直流分量
fpga开发