【牛客】VL74 异步复位同步释放

描述

题目描述:

请使用异步复位同步释放来将输入数据a存储到寄存器中,并画图说明异步复位同步释放的机制原理

信号示意图:

clk为时钟

rst_n为低电平复位

d信号输入

dout信号输出

波形示意图:

输入描述:

clk为时钟

rst_n为低电平复位

d信号输入

输出描述:

dout信号输出

c 复制代码
`timescale 1ns/1ns

module ali16(
input clk,
input rst_n,
input d,
output reg dout
 );

//*************code***********//
initial dout = 1'b0;

reg rst_n_r = 1'b1,
    rst_n_d1_r = 1'b1;

always @(posedge clk, negedge rst_n) begin
    if (~rst_n) begin
        rst_n_r <= 1'b1;
        rst_n_d1_r <= 1'b1;
    end else begin
        rst_n_r <= 1'b0;
        rst_n_d1_r <= rst_n_r;
    end
end

always @(posedge clk, posedge rst_n_d1_r) begin
    if (rst_n_d1_r) begin
        dout <= 1'b0;
    end else begin
        dout <= d;
    end
end

//*************code***********//
endmodule
相关推荐
li星野2 小时前
ZYNQ:点亮LED灯
fpga开发·zynq·7010
9527华安2 小时前
FPGA实现PCIE视频采集转HDMI输出,基于XDMA中断架构,提供3套工程源码和技术支持
fpga开发·音视频·pcie·xdma·ov5640·hdmi
乌恩大侠3 小时前
【Xcode Command Line Tools】安装指南
macos·fpga开发·c
apple_ttt4 小时前
从零开始讲PCIe(9)——PCIe总线体系结构
fpga开发·fpga·pcie
Little Tian7 小时前
信号用wire类型还是reg类型定义
fpga开发
apple_ttt1 天前
从零开始讲PCIe(6)——PCI-X概述
fpga开发·fpga·pcie
水饺编程1 天前
【英特尔IA-32架构软件开发者开发手册第3卷:系统编程指南】2001年版翻译,1-2
linux·嵌入式硬件·fpga开发
apple_ttt1 天前
从零开始讲PCIe(5)——66MHZ的PCI总线与其限制
fpga开发·fpga·pcie
最好有梦想~1 天前
FPGA时序分析和约束学习笔记(2、FPGA时序传输模型)
fpga开发
IM_DALLA1 天前
【Verilog学习日常】—牛客网刷题—Verilog企业真题—VL76
学习·fpga开发