迁移ISE ChipScope逻辑分析器到Vivado硬件管理器

迁移ISE ChipScope逻辑分析器到Vivado硬件管理器

介绍

本章介绍AMD Vivado™Design Suite硬件管理器,以及这些工具之间的关系

到ISE™设计套件ChipScope™逻辑分析器工具,以及如何迁移IP核

从ISE ChipScope环境到Vivado Design Suite。

Vivado硬件管理器是表示所有编程和调试工具的术语

Vivado Design Suite中提供的。Vivado中包含的功能

硬件管理器包括:

•Vivado设备编程器

•Vivado逻辑分析仪

•Vivado串行I/O分析仪

以下提供了Vivado集成设计环境(IDE)命名法,并列出

Vivado硬件管理器所取代的ISE工具。

传统IP核心支持

AMD建议您转移到新的Vivado调试IP核心。

重要!ChipScope Pro调试IP核心XCO文件与Vivado工具不兼容。做

不将XCO文件添加到Vivado项目中。

•在Vivado项目中,将以下内容添加到项目中:

○ 核心生成的NGC文件

○ XDC文件

○ 合成模板文件(.V或VHD,取决于HDL语言)

•将ChipScope调试核心XDC文件的USED_IN_SYNTHESIS属性设置为false。

•将SCOPED_TO_REF属性设置为适当的单元名称。

以下是包含icon_v1_06a、ila_v1_05a和icon_v1_05b的设计的示例,

以及vio_v1_05a ChipScope Pro调试IP核:

set_property USED_IN_SYNTHESIS false[get_files icon_v1_06a.xdc

ila_v1_05a.xdc vio_v1_05a.xdc]

set_property SCOPED_TO_REF{ila_v1_05a}[get_files ila_v1_05.xdc]

•下表中列出的传统ChipScope Pro调试IP核需要ChipScope-Pro

用于运行时调试期间交互的分析器工具,与不兼容

Vivado硬件经理。

ChipScope Pro Analyzer核心兼容性

以下小节介绍了ChipScope Pro分析仪与

Vivado调试IP核心。

ILA和VIO调试IP核

使用Vivado逻辑分析器与ILA v2.0(或更高版本)和/或VIO v2.0

调试IP核心。

下表显示了逻辑调试IP核心与运行时工具的兼容性。

IBERT 7系列GTH/GTP/GTX/GTZ v3.0(或更高版本)

调试IP核心

使用Vivado串行I/O分析仪与IBERT 7系列GTH/GTP/GTX/GTZ v3.0交互

(或更高版本)调试IP核心。

下表显示了串行I/O调试IP核心与运行时工具的兼容性。

结合传统的ChipScope Pro和Vivado Debug

设计中的IP核心

您可以使用以下规则将传统的ChipScope™核心与Vivado核心相结合:

•您可以在HDL代码中实例化Vivado调试IP核心,也可以插入ILA

v2.0核心进入Vivado设计的网表。

注意:将Vivado调试IP核心连接到JTAG基础设施的dbg_hub核心是

自动插入到您的设计中。

•您必须将传统的ChipScope Pro调试IP核心实例化到您的HDL代码中。

注意:传统ChipScope Pro不支持将调试核心插入Vivado设计网表

调试IP核心。

•在您的设计中实例化用于连接其他传统ChipScope的ICON核心

对JTAG链基础设施的IP核心进行专业调试。

重要!确保ICON和dbg_hub核心不使用相同的JTAG用户扫描链;

这样做会在write_bitstream DRC检查期间产生错误。

要更改dbg_hub核心的JTAG用户扫描链:

1.打开合成设计。

2.在"网表"窗口中,选择dbg_hub核心。

3.在"单元属性"窗口中,选择"调试核心选项"。

4.将C_USER_SCAN_CHAIN属性值修改为与

ICON核心融入你的设计。

相关推荐
乌恩大侠6 小时前
PXIe-7976【K410T】
fpga开发
作精本精10 小时前
Xilinx FPGA:vivado实现乒乓缓存
fpga开发
深圳信迈科技DSP+ARM+FPGA21 小时前
基于X86+FPGA+AI的切割机控制、六轴机器人控制方案
人工智能·fpga开发·视觉检测
Anin蓝天(北京太速科技-陈)1 天前
116-基于5VLX110T FPGA FMC接口功能验证6U CPCI平台
图像处理·嵌入式硬件·fpga开发
作精本精1 天前
Xilinx FPGA:vivado关于真双端口的串口传输数据的实验
fpga开发
迪普微社区1 天前
双芯合璧,双FPGA赋能的软件无线电平台上线!
图像处理·fpga开发·fpga·开发板·通信·射频·雷达监测
程序员不想敲代码啊1 天前
【Emacs Verilog mode保姆级的使用指南】
fpga开发·编辑器·emacs
小达爱学习2 天前
verilog实现PID控制
fpga开发
FPGAmaster创新者2 天前
基于AGX ORIN与FPGA K7实现PCIE高速数据通信/Orin与FPGA高速数据传输/XDMA在linux系统使用教程
linux·嵌入式硬件·fpga开发
9527华安2 天前
Zynq系列FPGA实现SDI视频编解码,基于GTX高速接口,提供5套工程源码和技术支持
fpga开发·视频编解码·sdi·gtx