xilinx

晓晓暮雨潇潇7 天前
fpga开发·xilinx·bit加密
FPGA开发技能(7)Vivado设置bit文件加密在FPGA的项目发布的时候需要考虑项目工程加密的问题,一方面防止自己的心血被盗,另一方面也保护公司资产,保护知识产权。Xilinx的器件大概有两种加密方案,一种是本文介绍的AES加密算法,另一种则是利用multiboot配置和Device DNA,其大概是流程是,xilinx提供了读取Device DNA的原语,用户逻辑通过原语读取Device DNA然后与用户逻辑的加密模块做运算得到一个数字串,将该数字串与存放在Flash特定区域的密文做对比,如果一致证明该FPGA通过授权可以启动用户逻辑,如果失败则可
迪普微社区1 个月前
fpga开发·fpga·xilinx·干货·硬件设计·资源
新版 Vivado 2024.1分享(附安装包)Vivado新版本来了,文末附下载方法。Vivado 2024.1版本的亮点主要集中在多个方面的功能增强和优化上。
迪普微社区1 个月前
fpga开发·fpga·xilinx·工业·加速卡·产品推荐
产品推荐 | 基于Xilinx XCKU115的半高PCIe x8 硬件加速卡本板卡系我公司自主研发,采用Xilinx公司的XCKU115-3-FLVF1924-E芯片作为主处理器,主要用于FPGA硬件加速。板卡设计满足工业级要求。如下图所示:
孤独的单刀2 个月前
fpga开发·ic·xilinx·altera·四舍五入·定点数·浮点数
基于FPGA的数字信号处理(10)--定点数的舍入模式(1)四舍五入round将浮点数定量化为定点数时,有一个避不开的问题:某些小数是无法用有限个数的2进制数来表示的。比如:0.5(D) = 0.1(B)
wjh776a682 个月前
linux·驱动开发·fpga开发·xilinx·pcie·ultrascale+
DMAR: [INTR-REMAP] Present field in the IRTE entry is clear 的解决办法在使用FPGA开发PCIe的MSI-X中断相关功能时,一次测试过程中dmesg打印出如下错误,使用cat /proc/interrupts查看FPGA的PCIe驱动程序未收到MSIX中断。使用的系统为基于Intel x86_64的linux(RHEL8.9),基于Xilinx Ultrascale+HBM VCU128开发板作为PCIe终端设备进行开发。
孤独的单刀2 个月前
fpga开发·信号处理·xilinx·数字ic·dsp·定点数·verilog入门
基于FPGA的数字信号处理(9)--定点数据的两种溢出处理模式:饱和(Saturate)和绕回(Wrap)在逻辑设计中,为了保证运算结果的正确性,常常需要对结果的位宽进行扩展。比如2个3bits的无符号数相加,只有将结果设定为4bits,才能保证结果一定是正确的。不然,某些情况如7+7 = 14(1110),如果结果只用3bits表示那么就成了110(6)了,这样运算的结果就是错的。同理,乘法操作需要扩展的位宽更大,是两个乘数的位宽之和,比如2个3bits的无符号数做乘法,结果需要设定为6bits。
迪普微社区2 个月前
fpga开发·无人机·fpga·雷达·开发板·xilinx·卫星
产品推荐 | 基于 Virtex UltraScale+ XCVU3P的FACE-VPXSSD-3PA 存储板FACE(FPGA Algorithm aCceleration Engine)FPGA算法加速开发引擎是基于FPGA可编程器件构建的一系列算法加速开发引擎平台。FACE-VPXSSD-3PA存储平台是FACE系列中的一员。该平台板载2组2GB 64bit DDR4、2路QSFP28光接口、4个NVME SSD M.2接口、NVME SSD扩展槽;板卡数据存入接口支持VPX、QSFP28等可选,板卡数据去除接口为QSFP28运行10G以太网TCP协议,板卡支持持续写入速率5GB/s、8GB/s、10GB/
爱奔跑的虎子2 个月前
fpga开发·fpga·xilinx·ddr·vivao·sdram
详解SDRAM基本原理以及FPGA实现读写控制SDRAM是“Synchronous Dynamic Random Access Memory”的缩写,也叫同步、动态、 随机、 存取器。因为其单位存储量大、高数据带宽、读写速度快、价格相对便宜等优点被广泛使用在各行各业。同时,其升级版的DDR作为电脑内存也被广泛使用。
wjh776a682 个月前
linux·fpga开发·systemverilog·xilinx·pcie
基于PCIE4C的数据传输(三)——使用遗留中断与MSI中断本文继续基于PCIE4C IP核实现主机(RHEL 8.9)与FPGA(Xilinx Ultrascale+HBM VCU128开发板)间DMA数据传输时的中断控制。本文分为三个部分:FPGA设计、驱动程序设计、上板测试。
孤独的单刀2 个月前
fpga开发·ic·verilog·xilinx·altera·signed·unsigned
基于FPGA的数字信号处理(5)--Signed的本质和作用Verilog中的signed是一个很多人用不好,或者说不太愿意用的一个语法。因为不熟悉它的机制,所以经常会导致运算结果莫名奇妙地出错。其实了解了signed以后,很多时候用起来还是挺方便的。
孤独的单刀2 个月前
fpga开发·xilinx·数字ic·定点数·verilog入门·定点化·浮点数
基于FPGA的数字信号处理(4)--浮点数的定点化首先要说明的是,题目《浮点数的定点化》中所谓的 浮点数 并不是指 IEEE754 规定的 单精度浮点数 或者 双精度浮点数 等格式,而是指10进制小数。所以说白了,这篇文章要讲的就是如何将10进制小数采用定点数的形式表示。
孤独的单刀2 个月前
fpga开发·信号处理·ic·verilog·fpga·xilinx·altera
基于FPGA的数字信号处理(7)--如何确定Verilog表达式的位宽很多时候,Verilog中表达式的位宽都是被隐式确定的,即使你自己设计了位宽,它也是根据规则先确定位宽后,再扩展到你的设计位宽,这常常会导致结果产生意想不到的错误。比如:
孤独的单刀2 个月前
计算机·fpga开发·verilog·xilinx·计算机基础·verilog入门
基于FPGA的数字信号处理(3)--什么是浮点数?你可能不了解「浮点数」,但你一定了解「科学记数法」。10进制科学记数法把一个数表示成a与10的n次幂相乘的形式(1≤|a|<10,a不为分数形式,n为整数),例如:
孤独的单刀2 个月前
fpga开发·硬件架构·信号处理·数字信号处理·ic·verilog·xilinx
基于FPGA的数字信号处理(6)--如何确定Verilog表达式的符号尽管signed语法的使用能带来很多便利,但同时也给表达式的符号确定带来了更多的不确定性。比如一个有符号数和一个无符号数的加法/乘法结果是有符号数还是无符号数?一个有符号数和一个无符号数的比较结果是有符号数还是无符号数?等等。接下来就一起研究下–如何确定一个表达式的正负符号。
FPGA狂飙2 个月前
fpga开发·verilog·fpga·vivado·xilinx·vitis
Vivado综合属性SRL_STYLE怎么用?“SRL_STYLE”属性是Vivado中用于控制移位寄存器(Shift Register Logic, SRL)映射方式的关键属性。
迪普微社区2 个月前
fpga开发·数据采集·fpga·xilinx·数据处理·智能·加速卡
产品推荐 | 基于XILINX VERSAL的XW-NVME-X16-2SAS智能FPGA加速卡XW-NVME-X16-2SAS智能存储加速卡基于XILINX VERSAL ACAP MPSOC,EP侧提供1路PCIe GEN4 x16接口,RP侧最大可支持2路PCIe GEN4 x8,或4路PCIe GEN4 x 4,板载DDR4-3200MHz缓存,具有低延迟、高性能、低能耗、低成本、可定制等优势。为Instance Storage、SSD硬件虚拟化、存储加密解密、纠删码、压缩解压缩、高速数据处理、应用业务卸载等服务器计算加速、存储业务的首选加速平台。
爱奔跑的虎子3 个月前
fpga开发·uart·fpga·xilinx·串行通信
详解UART通信协议以及FPGA实现从《浅谈UART,TTL,RS-232,RS-485的区别》这篇文章,我们知道了UART是一种串行、异步、全双工的通信协议,属于协议层;传输过程一般采用RS-232,RS-485电平标准,将所需传输的数据一位接一位地传输;整体传输框架如下:
孤独的单刀3 个月前
fpga开发·ic·verilog·fpga·xilinx·数字ic·verilog入门
【数字IC/FPGA】书籍推荐(1)----《轻松成为设计高手--Verilog HDL实用精解》在下这几年关于数字电路、Verilog、FPGA和IC方面的书前前后后都读了不少,发现了不少好书,也在一些废话书上浪费过时间。接下来会写一系列文章,把一部分读过的书做个测评,根据个人标准按十分制满分来打分分享给大家。
wjh776a683 个月前
fpga开发·verilog·xilinx·跨时钟域·xpm
跨时钟域学习记录(二)——XPM_CDC本文以Xilinx提供的xpm_cdc代码为例,整理处理跨时钟域数据传输的常见方法。Xilinx定义了多个宏定义代替描述触发器行为的always块,列举如下
孤独的单刀3 个月前
fpga开发·verilog·fpga·xilinx·数字ic·altera·verilog入门
【数字IC/FPGA】书籍推荐(0)----《Verilog 传奇--从电路出发的HDL代码设计》在下这几年关于数字电路、Verilog、FPGA和IC方面的书前前后后都读了不少,发现了不少好书,也在一些废话书上浪费过时间。接下来会写一系列文章,把一部分读过的书做个测评,根据个人标准按十分制满分来打分并分享给大家。