Xilinx FPGA管脚约束语法规则(UCF和XDC文件)

文章目录

      • [1. ISE环境(UCF文件)](#1. ISE环境(UCF文件))
      • [2. Vivado环境(XDC文件)](#2. Vivado环境(XDC文件))

本文介绍ISE和Vivado管脚约束的语句使用,仅仅是管脚和电平状态指定,不包括时钟约束等其他语法。

ISE使用UCF文件格式,Vivado使用XDC文件,Vivado中的MIG_DDR管脚也是使用的UCF文件。

1. ISE环境(UCF文件)

ISE开发环境可以使用图形化分配界面PlanAhead工具,本文介绍手动编写约束语句的方式。

信号的管脚和电平使用两条语句分别进行约束:

c 复制代码
NET "clk" LOC = T8;
NET "led<0>" LOC = D22;
NET "led<1>" LOC = D20;

NET "clk" IOSTANDARD = LVCMOS33;
NET "led<0>" IOSTANDARD = LVCMOS33;
NET "led<1>" IOSTANDARD = LVCMOS33;

信号的管脚和电平合并成一条语句同时约束:

c 复制代码
NET clk LOC = T8| IOSTANDARD = "LVCMOS33"; 

NET led<0> LOC = D22 | IOSTANDARD = "LVCMOS33"; 
NET led<1> LOC = D20 | IOSTANDARD = "LVCMOS33"; 

2. Vivado环境(XDC文件)

使用两条语句分别约束管脚和电平标准:

c 复制代码
set_property IOSTANDARD LVCMOS33 [get_ports clk]
set_property IOSTANDARD LVCMOS33 [get_ports key[0]]
set_property IOSTANDARD LVCMOS33 [get_ports key[1]]

set_property PACKAGE_PIN U7 [get_ports clk]
set_property PACKAGE_PIN V9 [get_ports key[0]]
set_property PACKAGE_PIN Y8 [get_ports key[1]]

使用一条语句同时约束管脚和电平标准:

c 复制代码
set_property -dict {PACKAGE_PIN U7 IOSTANDARD LVCMOS33} [get_ports clk]
set_property -dict {PACKAGE_PIN V9 IOSTANDARD LVCMOS33} [get_ports key[0]]
set_property -dict {PACKAGE_PIN Y8 IOSTANDARD LVCMOS33} [get_ports key[0]]
相关推荐
行者..................2 天前
调试、开发板、串口、Vitis、源码。
fpga
爱奔跑的虎子3 天前
FPGA与Matlab图像处理之伽马校正
图像处理·matlab·fpga开发·fpga·vivado·xilinx
吉孟雷5 天前
ZYNQ FPGA自学笔记
fpga开发·verilog·led·仿真·vivado·zynq
行者..................7 天前
FPGA编程指南: CSU DMA传输
fpga开发·fpga
行者..................8 天前
ZYNQ MPSOC FPGA 仿真 教程
fpga开发·fpga
知识充实人生8 天前
Vivado时序报告之Report pulse width详解
vivado·xilinx·时序报告·脉冲宽度检查·pulse_width
热爱学习地派大星10 天前
BRAM IP Native模式使用
fpga开发·ip·verilog·fpga·存储器·bram
行者..................11 天前
FPGA 时序逻辑 组合逻辑 连接 语句
fpga开发·fpga
FPGA狂飙12 天前
1分钟 快速掌握 双向信号(inout信号)
fpga开发·verilog·fpga·xilinx
FPGA狂飙17 天前
【FPGA数字信号处理】并行FIR滤波器
fpga开发·信号处理·verilog·fpga·xilinx